x
All

Conductor

Newsletter

May 2017
Electronics
Atotech

Patrick Brooks
Global Business Manager Surface Treatment Technology

Dear Reader,

Life as we know it is increasingly changing. More and more, electronic gadgets will fulfill various functions, autonomous driving, constant connectivity (IoT) supported by 5G networks, internet traffic and storage demands, as well as the way we manufacture things will not only increase exponentially but also change the world.

In order to support the continuous demand for improvement and optimization of electronics systems and components our industry calls for innovative and future-oriented solutions, which ensure high yield capabilities and increased packaging density (fine line capability) while reducing costs.

Atotech is at the forefront of developing future oriented solutions and has currently a number of products / projects which are designed to enable our clients to go above and beyond everything that is technically or commercially known, as of today.

Adhesion promotion – NovaBond® IT
NovaBond® IT is a revolutionary non-etching adhesion promoter for inner-layer bonding and soldermask pretreatment that combines the benefits of a non-etching treatment with enhanced peel strength and thermal reliability on standard ABF build-up films as well as liquid and dry film soldermasks.

Metallization – Printoganth® MV TP1 / PLP
Printoganth® MV TP1 / PLP are new electroless copper processes that provide highest throwing power into BMVs and their wedges enabling ultra-fine lines and spaces of >8/8 μm.

Electrolytic copper plating – InPro® SAP3 / Innolyte® PLP / Innolyte® P
InPro® SAP3, our new copper plating electrolyte development for advanced packaging (8/8µm L/S) and Innolyte® PLP for Fan-out Panel Level Packaging (5/5 µm L/S), both offer excellent line shape, filling performance and uniformity. For copper pillar plating in Fan-out Panel Level Packaging Innolyte® P provides highest plating speed with excellent uniformity.

Resist stripping – ResistStrip® IC
The ResistStrip® IC products are specially developed photoresist strippers that enable residue-free performance very fine line/space features (sub 15/15 µm) while minimizing copper or other metal attack.

Differential etching – EcoFlash® S200
EcoFlash® S200 is our latest differential etchant. This solution is based on iron sulfate and is specially designed to maintain the conductors undercut-free, enable yield improvement, lower line/space manufacturing and significantly reduce the use of chemicals.

Final finish – Pallabond®
PallaBond® is a pure EPAG future generation high-end finish with excellent solderability combined with high frequency, low signal loss attributes offering excellent wire bonding credentials.

Equipment – MultiPlate®
MultiPlate® is an innovative, fully automated electrochemical deposition plating system designed for flexible R&D and high-end application specific production. MultiPlate® can be customized for through hole filling, and both single and double side plating on RDLs and pillar structures, both of which are required processes for many new packaging applications.

As Global Business Manager for Surface Treatment Technology, I am especially convinced about our competencies and capabilities for bonding enhancement, advanced surface preparation, photoresist stripping and various adhesion promoters and etchants for fine line manufacturing. Atotech has always been at the forefront of adhesion technologies. Our BondFilm® process is the industry standard for inner-layer bonding. To remain market and technology leader we have introduced our newest and most advanced adhesion promoter to the market, NovaBond®IT. NovaBond®IT is Atotech’s solution for advanced substrate packaging adhesion requirements. By removing only 100 – 150 nm of copper to promote adhesion NovaBond®IT enables ultra-fine line and space capability below 5/5 µm, compared to current process of record which removes 0.8-1.0 µm of copper. NovaBond®IT also provides superior adhesion and thermal reliability with state-of-the-art build-up films as well as leading edge dielectric.

In this edition of our online newsletter, we especially highlight our new and cost-effective palladium reduction system for horizontal electroless copper applications, our study on identifying the best alloy compatible with the EPAG process suited for mobile applications and our systems solution for fan-out panel level packaging. We also look at our clean room production capability for advanced packaging, as well as give our view on the current developments in HDI PCB manufacturing.

Please contact us via: Web: atotech.beta.brettinghams-dev.de   I   Xing: www.xing.com/companies/atotech   I   LinkedIn: www.linkedin.com/company/atotech

With best regards

Patrick Brooks
Global Business Manager Surface Treatment Technology

Publisher: Daniel Schmidt, Head of Electronics Marketing Worldwide
Editor: Yvonne Fütterer, Marketing Specialist Electronics

Product highlights

Neoganth® E Reducer

Cost-effective palladium reduction for horizontal electroless copper processes

Figure 1: Schematic drawing of the palladium-catalyzed self-decomposition of the reducer chemistry

 

Figure 2: Coverage performance of the new reducer system at different additive concentrations

As a global market leader, Atotech is striving for innovative solutions to sustain our customer’s business for the upcoming challenges of the electronics industry. In this respect, three overall objectives drive our product development: Sustainable solutions, technological leadership and cost-effectiveness.

Neoganth® E Reducer, Atotech’s new reducer process for our market leading ionic activation system Neoganth® fits perfectly to this philosophy. The palladium catalyzed decomposition of the active component in the reducer module, as illustrated in figure 1, is minimized.

This leads to three main benefits:

  1. Sustainability: The environmental impact of the reducer process step and, more precisely, the total amount of the active component (classified as toxic) in the waste water is minimized, thus reducing the amount of boron in the waste water.
  2. Process stability: Neoganth® E Reducer provides enhanced process stability and safety due to constant active component concentration in the reducer module.
  3. Cost-reduction: Chemistry consumption and thereby running costs are reduced significantly by approx. 25%. The actual required chemistry dosing per panel is determined by the intended chemical reaction (reduction of Pd2+ to Pd0) and the drag-out of the horizontal plating lines – and not by the self-decomposition of the active component.


The process
The Neoganth® E Reducer process contains a special additive that deactivates the catalytically active palladium seeds accumulating in the reducer module over the bath lifetime. As a consequence, the consumption of the reducer chemistry is minimized due to a significantly decreased amount of active palladium particles for the decomposition reaction. Furthermore, the new process is compatible to all established pH buffer systems used by the industry.

During extensive TechCenter testing and customer qualification, the main focus besides the chemistry consumption was on coverage performance and the reliability of the overall electroless copper system. Figure 2 illustrates the coverage results evaluated by the backlight test for the reference system (Neoganth® WA Reducer) and different additive concentrations of the new Neoganth® E Reducer. The backlight performance – exemplary tested on five different base materials – was stable for all tested additive concentrations. In addition, the Neoganth® E Reducer system showed no failures in solder shock, quick via pull, thermal cycle or interconnect stress testing.

In summary, product qualification proofed the significant savings in reducer chemistry consumption at excellent reliability and coverage performance that are on a comparable level to the reference process Neoganth® WA Reducer.

Main features
Atotech’s Neoganth® E Reducer includes the following features:

  • Two product reducer system: Neoganth® E Reducer (S) and Neoganth® E Additive
  • It is applicable to horizontal electroless copper processes
  • The Pd-catalyzed self-decomposition of the reducer is minimized
  • It provides an excellent backlight performance, as proven in Atotech’s Uniplate® LB line
  • Compatibility to all established pH buffer systems is given
  • It fulfills the highest reliability standards (TCT, IST, SST, QVP)
  • The reducer bath is fully analyzable


Summary and outlook

The new Neoganth® E Reducer process enlarges Atotech’s unique desmear and metallization product portfolio and contributes to the cost-effectiveness of our market leading process solutions for the entire PCB industry.

Sample plating capabilities are available in Uniplate® LB plating equipment located in Atotech’s TechCenter in South Korea.

For more information, please contact:

Tobias Sponholz
Assistant Product Manager Desmear & Metallization Atotech Deutschland GmbH
Phone: +49 (0)30 – 349 85 1573
Email: tobias.sponholz@atotech.com

Product highlights

EPAG process and LF 35 alloy for mobile applications

Data proves the superiority of this combination

Figure 1: Test matrix variables

Figure 2: A description of the physical test parameters

Figure 3: Summary of the IMC appearance and influence on SJR (250 µm, LF 35 Aged)

At Atotech, R&D is of high importance as our key focus is to be up-to-date and ahead of market developments and trends. In the market, an increase in I/O counts has led to ever decreasing cross sectional contact areas or, by default, an increase in solder performance expectations. High speed shear testing (HSS) is used to measure solder joint integrity. In response to the latest market developments, our surface finishing (SF) business technology team evaluated the solderability of the dominant final finishes in the market by using lead free solder balls to simulate production.

The following final finishes were looked at:

  • Immersion tin (i-Sn)
  • Organic Surface Protection (OSP)
  • Electroless Nickel/ Immersion Gold (ENIG)
  • Electroless Nickel /Electroless Palladium and Immersion Gold (ENEPIG)
  • Electroless Palladium / Semi Autocatalytic Gold (EPAG)

Our team assessed whether the IMC is a good indicator of solder joint integrity and if the attributes form, size and composition of the IMC are important for predicting solder joint reliability (SJR). To analyze this, high resolution microscopy was coupled with High Speed Shear testing (HSS). HSS is used to artificially induce mechanical failure that is comparable to dropping the electronic device.

How did the SF team go about it ?
In order to represent the electronics industry, a cross section of final finishes, solder ball sizes (450µm and 250µm), alloys (SAC305 and LF 35), and thermal stresses (refer to figure 2), were employed. In addition to the traditional final finishes, a direct palladium on copper final finish was added to the mix (refer to figure 1).

A ‘cliff finding’ exercise was conducted to calibrate the tests. The details of the ‘cliff finding’ exercise are not important. However, significantly, the solder alloy behaved very distinctly during the test. The key take away message from this was that the solder joint generated with the LF 35 solder ball was significantly more ductile than that created by SAC 305.

What were the results of the high speed shear testing?
LF 35, which is relatively new to the market, proved to be well suited for mobile applications, due to its superior ductility. Many of the final finishes performed similarly before ageing independently of which solder alloy was used. The correlation between the fracture modes correlated closely with the total energy responses. The EPAG finish performed the best.

As an extra point of reference LF 35, 250 µm solder balls were included to amplify the responses from the 450 µm equivalents. This dimension highlighted that, apart from the OSP, the nickel free finishes performed the best when ageing was applied.

Comparing the HSS results to the IMC
The focus of this comparison test was on OSP, ENEPIG (thin Ni) and EPAG. In conjunction with 450 µm diameter SAC 305 solder balls and 450 µm and 250 µm diameter LF 35 solder balls, the following findings were made:

  • The IMC formed by the OSP finish was fairly needle like and consistent.
  • Results for the EPAG were similar to those of the OSP.
  • For the ENEPIG (thin Ni) the IMC was even, but not needle like in shape and showed strong nickel corrosion with an associated phosphor rich band.

After ageing, the IMC for the OSP finish increased in thickness while the relative surface area decreased. The ENEPIG IMC also became thicker and the phosphor rich band became more pronounced with potential weaknesses becoming visible. The EPAG finish performed better than the other finishes but the IMC was not significantly different from the OSP IMC. The surface area for the EPAG IMC, however, could be considered larger.

During the ‘cliff finding’ exercise the ductility of the LF 35 was greater than the SAC 305. Therefore, in a next step, the correlation between the LF 35 alloy and the IMC formation was assessed. As with the SAC 305 the OSP and EPAG IMC appeared similar as received (ASR). The ENEPIG (thin Ni) IMC could be described as needle like but not continuous. The form seemed disturbed and the copper / nickel interface showed signs of strong corrosion.

In conclusion, the Total Energy (mJ) results were similar. The ENEPIG IMC (thin Ni), however, showed conspicuous copper corrosion. There was also an indication that there was more copper corrosion in the EPAG sample than in the OSP sample. This phenomenon appeared to have no detrimental impact on Total Energy (mJ).

What did we take out of this?
The result for the EPAG process was significantly superior to the other finishes discussed in this more stringent environment. The compatibility with the LF 35 solder places this finish well for mobile applications.
The study departed from the Total Energy (mJ) results as in this instant the fracture mode clearly demonstrated the suitability of the EPAG finish for mobile applications.

Conclusion
All data showed that the main influence on the soldering performance of the final finishes is the solder ball size. This can be attributed to a relative difference in the contact area. Another significant impact is the type of alloy used for the solder ball. All data indicated that the LF 35 alloy is better suited for mobile applications than SAC 350. It is also compatible with the EPAG process.

In terms of IMC comparison, the nickel free finishes are generally comparable. Those containing nickel are likely to create brittle IMCs. The exception in this test was the OSP, which performed poorly.

In essence, all the data reinforces the application benefits of the EPAG process over nickel containing final finishes. This is especially true when combined with the LF 35 alloy.

For more information, please contact:

Rick Nichols
Product Manager Surface Finishing at Atotech Deutschland GmbH
Phone: +49 (0)912 872-340
eMail: Rick.nichols@atotech.com

Product highlights

Innolyte® and MultiPlate® for FO panel level packaging

New cost reduction and package design possibilities

 

Figure 1: FO- Package-on-Package example for advanced processor application with tall Cu pillar, RDL and µ-via structures
Figure 2: WIPU results on a pattern 508 x 508 mm panel
Figure 3: Additives and current plating requirements

Fan-out wafer level packaging (FO-WLP) today is seen as a key advanced packaging platform which meets the technological and cost roadmap requirements of the industry. It is currently the fastest growing advanced packaging technology and will continue to grow towards a USD 2.4 billion market by 2020. Compared to main Package on Package (PoP) manufacturing solutions, the IO count of FO-WLP is not limited to the area of the die, thereby allowing finest package density. It also leads to better performance and a higher level of system integration. Figure 1 gives an example of required features in an integrated FO-PoP design.

The size of the manufacturing substrate is a key driver of the overall costs of a package. Substrate sizes beyond 300 mm would allow advantages in economies of scale in the packaging manufacturing processes, since more dies can be produced on a square panel than on a wafer. This offers new possibilities in package design and significant cost reduction potential (as standard panel manufacturing structures can be used).

This currently drives the industry and the supply chain – the transfer of FO-WLP to FO-PLP (Fan-Out panel level packaging) and the development of new products and solutions that make benefit of the substrate scaling possibility in order to reduce costs.

Equipment – MultiPlate® for panel level packaging
Atotech’s existing MultiPlate® equipment targets both approaches: wafer- and panel-level packaging. The electrochemical deposition plating system for panel level applications is designed for single and double sided plating, and high speed pillar plating. It is compatible with various panel size substrates (silicon, glass, etc.) and can be customized according to individual production requirements. Target applications include FO-PLP, advanced substrates, and interposer (2.5D and 3DIC) for Cu pillar and RDL processes.

Designed to meet current requirements, MultiPlate® features segmented, stable anodes and an advanced fluid delivery system. This allows the optimization of uniformity at high deposition rate and is ideal for small features and tall copper pillars. Furthermore, a specially developed version of Fe auxiliary redox system, a well-known feature of Atotech’s very successful Uniplate® IP2 platers, ensures pure deposits and a long, stable bath life. Latest plating results on glass and organic substrates with sizes of up to 510 x 515 mm achieved a WIPU well below ±10%.

Chemistry – The new Innolyte® product family
To meet the different technology requirements, Atotech has developed specific processes for Cu RDL and pillar plating consisting of high-purity organic additives. The new Innolyte® electrolytes are designed for very high-speed plating in Atotech’s MultiPlate®. This leads to the perfect combination of equipment and chemistry for FO-PLP, satisfying the technology needs by providing a high deposition rate together with achieving the requirements for Cu deposit.

Innolyte® P is a two additive electrolyte for tall pillar plating. The focus of the development was on high applicable current densities of about 20 ASD (A/dm²). By using pulse plating, a rectangular pillar shape can be achieved even at these high current densities. Of course very good within-unit and within-panel uniformity is a must.

For RDL plating, Innolyte® PLP has been developed. This process is focusing on within-unit distribution at current densities of >4 A/dm² for fine lines and pads while being able to fill µ-vias at lowest plated surface copper thickness. Figure 2 shows the results achieved on pattern customer boards sampled in our MultiPlate® Panel.

A summary of additives and current plating requirements for FO-PLP applications is shown in figure 3 (the with-in-panel distribution (WIPD) is calculated as follows: WIPU= ± max-min/2×mean × 100%).

Where do we stand?
Exploring panel-based manufacturing instead of the current wafer-based approach for Fan-Out packaging manufacturing offers potential for further cost reductions and increased productivity. Many augurs predict this is the way the industry is heading in its strive for better performance at lower cost as predicted by Moore’s Law. This task is not as easy as it seems. The yields and technology level from the silicon side must be merged with the existing infrastructure of the OSAT & substrate manufacturers.

The new developments in chemical processes and dedicated equipment for panel level applications showed their capability for next generation packaging. With the Innolyte® product family and MultiPlate® equipment, Atotech provides a clearly feasible and already available solution today.

For more information, please contact:

Henning Hübner
Global Product Manager Panel / Pattern Plating
Atotech Deutschland GmbH
Phone: +49 (0)30 349 85 -434
eMail: henning.huebner@atotech.com

 

Product highlights

Semiconductor Advanced Packaging

Cleanroom production of chemistry and equipment for next generation technologies

Figure 1: Cleanroom production facility from viewing corridor in Neuruppin, Germany

Figure 2: Chemistry distribution system

Figure 3: Small parts ultrasonic rinse at cleanroom lock in Feucht, Germany

Figure 4: MultiPlate® – Sustainable production of next generation technologies

In an industry that is continuously evolving, Atotech strives to add value for customers by supplying high performance, top quality, and reliable production equipment and chemistries. Our focus on supplying technology-leading turnkey solutions and unparalleled customer service is made possible by our commitment to safe, sustainable, and efficient production, as well as our global approach to business, with TechCenters in over 11 countries equipped for local, on-site service and support.

Staying ahead of the competition and being able to address the industry’s requirements for next generation technologies has always been the foundation of Atotech’s global strategy. Our cleanroom production facilities enable us to manufacture chemistry and equipment that tackle these increasing demands. For the electroplating process in semiconductor packaging, the requirements for next generation technologies are:

  • Pure metal deposits for improved voiding performance and reliability
  • Optimized non-uniformity for high yield
  • High speed plating of fine line features, enabling high throughput and increased miniaturization

From the point of raw material selection and qualification, to chemistry production in our state-of-the-art manufacturing facility, and finally to finished product quality testing, we control the quality of our chemistries at every production step. In doing so, we are able to manufacture high purity chemistries that comply with the most stringent requirements for semiconductor processing. With the addition of our cleanroom equipment manufacturing facility, we are now positioned to provide the semiconductor industry with a turnkey solution that delivers optimized plating results, and satisfies the aforementioned requirements for next generation products.

High purity chemistry production
Our 1,500 m² cleanroom facility for high purity chemistry production utilizes the latest engineering manufacturing technologies and employs closed loop production systems and advanced filtration for optimum particle control, production consistency and efficiency, and safety. This facility is ISO 6, ISO 9001 and ISO 14001 certified and is located in Neuruppin, Germany.

Semiconductor equipment production
Our industry-leading manufacturing facility for semiconductor equipment contains 2,250 m² of cleanroom production area and is located in Feucht, Germany.  The ISO 7 cleanroom allows for fine line testing with high purity DI water, efficient particle control with constant air exchange and routine particle measuring and tracking. Humidity and temperature are controlled for optimized equipment production. Equipment manufactured in our cleanroom facility is specially designed to satisfy the high cleanliness standards and requirements for fine line capability, while enabling very high speed plating of next generation technology features.

Sustainable production
As environmental regulations become more rigorous, we remain committed to our core values and the development of innovative and sustainable technologies that enable our customers to improve process efficiency and minimize their environmental footprint.

During production of semiconductor chemistry and equipment, all materials (plastic, PVC, stainless steel, etc.) are recycled, a heat recovery system is employed for 70% energy regeneration, and specialized cleaning and rinsing equipment are used that enable the recycling of the chemistries and precious metals, as as well as waste water reduction. Additionally, our chemistry is manufactured in close production systems in order to eliminate the risk of contamination to the external environment.

In addition to employing sustainable manufacturing methods, we strive to develop products that are sustainable and processes that are energy efficient. We continue to monitor environmental regulations and adjust our products accordingly. We also heavily invest in R&D for next generation technologies, with the goal to provide products that are free of CMR, toxic, and allergenic substances, as well as heavy metals. Our lead free nickel and cyanide free gold for pad metallization are two examples of products that exemplify Atotech’s commitment to the elimination of dangerous and toxic substances.

Another example is our MultiPlate® system, an electrochemical deposition tool that enables energy efficient electroplating with lower process temperatures, and both lower energy and additive consumption. Together with our high purity Spherolyte® chemistries, MultiPlate® enables a more efficient and cost effective method for embedding power chips by means of simultaneous electroplating on both sides of the wafer. Additional system features provide technical benefits for embedded technologies – such as better heat management and energy efficiency – and enable further miniaturization of power semiconductor packages to comply with future product requirements.

About MultiPlate®
MultiPlate® is designed for single and double side plating, through via filling, and high speed pillar plating. The tool is compatible with both wafer and panel formats in a variety of sizes and substrates (silicon, glass, etc.), and can be customized according to the individual production requirements.

For more information, please contact:

Cassandra Melvin
Global Product Manager Semiconductor Advanced Packaging Atotech Deutschland GmbH
Phone: +49 (0)30 – 349 85 445
Email: cassandra.melvin@atotech.com

Felix Schmidt
Manager Business Development Equipment worldwide at Atotech Deutschland GmbH
Phone: +49 (0)912 – 872 5633
Email: felix.schmidt@atotech.com

Product highlights

The changing shape of the HDI market

New market needs challenge HDI PCB manufacturing

Figure 1: iPhone thickness and WLP development by generation

Figure 2: mSAP and amSAP process sequences

Figure 3: Impact of copper thickness on line and space capability

The long term growth forecasts for the printed circuit board market are in the order of 2% CAAGR until 2021 according to Prismark. This varies dramatically by region, so growth is fairly flat. High Density Interconnect (HDI), Microvia, IC Package Substrates and Flexible Printed Circuits (FPC) revenues all declined in 2016 as new designs are smaller and therefore less expensive.

The primary growth drivers for change are due to technology shifts towards smaller form factors and designs. This is not conducive to value or area growth.

Current situation
HDI boards in mobile devices particularly in mobile phones are running out of space and must shrink to accommodate the need for increased space for batteries in our increasingly connected world. This has a number of effects on the HDI market in terms of requirements:

  • Increased interconnection density by miniaturization of holes, pads and conductors is required to improve connection to the next system level
    – IC substrate or direct chip attach
  • This maximizes electrical performance, reducing latency, increasing signal speeds
    – iEssential for mobile devices, Ultrabook’s and notebooks
  • Smaller solder spheres and pads require a reduction in BGA pitch which, along with thinner PCB’s and die’s, raise concerns over warpage and solder joint reliability

In conjunction with all of these requirements improved shielding is needed with more components crammed into a smaller space. Shielding may also be incorporated into the HDI PCB going forward and improved thermal performance of the HDI PCB is driven by mobile devices to reduce heat dissipation.

This is quite a list of requirements for the HDI market. We have already seen the introduction of High Density Fan Out Wafer Level Packaging (FOWLP) in mobile devices with the advent of TSMC’s Integrated Fan Out (InFo) package combining an Applications Processor (AP) and Memory in a Package on Package (PoP) build on the Apple A10 processor in the iPhone 7. This eliminates the need for an IC substrate and has impressive performance metrics, higher system-level performance in a thinner package, at a cost.

Where is the market going?
Whether other mobile phone suppliers will follow suit remains to be seen, albeit it has been reported that Samsung will introduce similar technology in its next generation devices. We can assume for high end mobile devices that this will result in a huge increase in direct chip attach to HDI boards in the future.

Direct chip attach for InFo and others will result in a very low warpage requirement and an underfill requirement under the AP/Memory stack.

The tendency towards smaller, thinner PCB’s and die’s to improve mobile device form factor is clearly shown in the development of the iPhone thickness (Figure 1). The advances in HDI development and increasing levels of Wafer Level Packaging (WLP) in the devices enable this. The number of WLP’s has also significantly increased the reliability of mobile devices (Figure 1).

New laser drilling developments are required to ensure that smaller vias can be mass produced at reasonable cost and also to reduce the Heat Affection Zone (HAZ) in the dielectrics which limits via density.

New lasers with picosecond and femtosecond pulses are coming to market offering increased speed and productivity with improved quality and less HAZ at lower hole sizes. This is a critical development to enable ultra small microvias <25 µm in prepreg going forward. Improved surface treatments for copper to extend the life of CO2 Laser Direct Drilling (LDD) are also coming to the market.

Next generation designs for HDI will see a reduction to ≤30 µm line and space (L/S). This excludes semi panel plating techniques which have been the mainstay used in HDI production up until now. The PCB industry is already moving to modified Semi Additive Processing (mSAP) and advanced modified Semi Additive Processing (amSAP) to achieve this capability and to improve yields. Thinner copper foils are required and design rules also have to change to meet these requirements. Indicative process sequences for mSAP and amSAP are in Figure 2.

The reduction in copper foil thickness increases customer capability to produce fine L/S as the copper thickness on the dielectric is critical to both yield and L/S capability. Ultra low profile copper foils are also required which increase cost.

Challenges and solutions
Every aspect of HDI PCB manufacture is challenged to meet these market needs:

  • Surface preparation and multilayer bonding
    – LDD preparation, dry film adhesion and copper to dielectric bonding
  • Desmear
    – Small via desmear
  • Electroless copper
    – High throw electroless copper for small microvias
    – Electrolytic copper
  • Electrolytes capable of via filling and pattern plating simultaneously
    – Through hole filling electrolytes
  • Final finishes
    – Must evolve to meet finer L/S requirements and smaller pad diameters to ensure solder joint reliability and electrical performance
For more information, please contact:

Robin Taylor
Marketing and Technical Sales Manager Electronics
Atotech Deutschland GmbH
Phone: +49 3034 985 1615
Email: robin.taylor@atotech.com

Trade show news

Global events and trade shows

Past Events

Atotech participated in a number of key global events during the past few months. Here’s a quick highlight:

SE Asia Technical Conference 2017
The “South East Asia Technical Conference on Electronics Assembly”, an SMTA conference, is a globally renowned electronics assembly conference. It took place from March 28 to 30, 2017, in Penang, Malaysia. The show focused on the drive for smaller, more functional consumer electronics along with the need for highly reliable electronics applications.

At the show, Rick Nichols, Global Product Manager Selective Finishing at Atotech Deutschland GmbH, presented on ‘Soldering Immersion Tin’. A highly interested audience posed questions about the suitability of immersion tin for multiple soldering cycles and was interested to see how it fared, as a finish, compared to the immediately comparable finish: immersion silver. The benefit of the Horizon systems approach sparked special interest.

SEMICON China 2017
SEMICON China, which took place from March 14 to 17, 2017, in Shanghai, China, is one of the largest trade shows for the semiconductor industry. Every year the show attracts the major players of the global semiconductor industry.

Thus, Atotech was well represented and showcased its latest technology developments and solutions. Our product highlights were MultiPlate®, a versatile ECD plating tool for the next generation of advanced packaging, Xenolyte® Zincate CFA2, a universal pretreatment process, and Spherolyte® RDL/Pillar 3, a conformal copper pillar plating process enabling flat and recess free pillar plating.

Second from left: George Yang, Deputy VP Far East (China, Hong Kong, Taiwan) at the Opening Ceremony of the CPCA

CPCA Show 2017
The China International PCB and Assembly Show (CPCA) was held from March 7 to 9, 2017, at the Shanghai International Expo Center (SNIEC) in Shanghai, China. It is China’s International Circuit Electronics Exhibition, attracting around 50,000 visitors from all around the world each year.

As a leading global player in specialty chemicals, equipment and services, Atotech showcased some of its leading equipment lines in Hall 7.1 #7K06. Visitors could find out more about our ST-Line®, Uniplate® PLBCu6 for mSAP and Uniplate® PLB for amSAP. ST-Line® is specialized equipment for inner layer, outer layer and soldermask pretreatment. Uniplate® PLBCu6 is the solution of choice for mSAP technology, while Uniplate® PLB for amSAP is the industry standards desmear and electroless copper system for high-end applications.

IMAPS Device Packaging 2017
The IMAPS conference is a globally renowned packaging conference and took place from March 6 to 9, 2017, in Fountain Hills, USA. It is dedicated to Interposers, 3D IC & packaging, Fan-Out, wafer level packaging and Flip Chip, and engineered micro systems and devices. In this capacity exposure to the dominant OEMs and the chance to develop a deeper understanding of the existing and future high end markets is guaranteed.

Atotech’s Rick Nichols, Global Product Manager Selective Finishing at Atotech Deutschland GmbH, presented on ‘The Influence of Intermetallic Compounds (IMC) on High Speed Shear Testing with a Specific Interest in electroless Palladium / Autocatalytic Gold’. A committed audience witnessed the superior solder joint reliability performances of Atotech’s flag ship processes, Stannatech® and PallaBond®. This data driven presentation demonstrated the excellent performance of PallaBond® and therewith Atotech’s leading position in the field of selective finishing.

 

IPC APEX EXPO 2017
Atotech presented and showcased at the IPC APEX Expo 2017 in San Diego, USA, from February 11 to 16, 2017. This year’s show marked IPC’s 60th anniversary, with many activities and events surrounding the Expo. The show’s main theme was “Technology’s Turning Point” – where inspiration turns into innovation and education and technology into real-world success.

Of special interest to visitors at Atotech’s booth was the PallaBond® process, a nickel free final finish for fine lines and high frequency, as well as Stannatech® SF8, an immersion tin process for vertical processing. The presentation on “Soldering Immersion Tin”, held by Rick Nichols, Global Product Manager Selective Finishing at Atotech Deutschland GmbH, received much attention. In his presentation, Rick managed to dissolve a common preconception and proved that immersion tin actually does have a long shelf life and is a reliable solderable finish.

 

NEPCON Japan 2017
NEPCON Japan 2017 is one of Asia’s leading conferences in the field of Electronics Manufacturing. The conference consists of seven shows specialized in the essential areas of electronics manufacturing and R&D. Special focus this year was on the future of electronics, especially in the fields of automotive, wearable devices, robots and smart factories. More than 110,000 experts and professionals from a wide range of fields related to electronics manufacturing visited the three-day event. The show took place from January 18 to 20, 2017, at Tokyo Big Sight in Tokyo, Japan.

A clear highlight at the NEPCON Japan was automotive, as electric cars and ADAS are increasing the importance of electronics parts. Industry trends were also visible, such as the move to fan-out wafer level packaging (FO-WLP) for IC packages and PCBs. Market trends seem to indicate that the increase of FO-WLP and the decrease in IC substrate cannot be avoided. As a result, many processes and materials were introduced at the NEPCON Japan. At the same time, countermeasures from IC substrate manufacturing were widely discussed, such as fine lines down to sub 10/10 and core-less technologies.

 

Upcoming events

Meet our experts and senior leaders at key global events coming up around the world:

C-Tex Show 2017
Date: May 17 – 19, 2017
Venue: Suzhou International Expo Center, China

ECTC 2017
Date: May 30 – June 02, 2017
Venue: Walt Disney World Swan and Dolphin Resort, USA

JPCA 2017
Date: June 07 – 09, 2017
Venue: Tokyo Big Sight, Japan

Industry News

Market and Technology

At a glance report of all the important market and technology News you can’t miss

Glass substrate can be rolled-up for organic electronics
The bendable flexible material can be transferred directly from the melt to a roller for storage, and then be unrolled into a manufacturing line. Created by a consortium of Schott, Fraunhofer FEP, Tesa SE and Von Ardenne, at 150µm the glass is both bendable and stable, giving it advantages over plastics, metals or silicon in terms of optical quality, temperature stability, chemical consistency, gas porosity or mechanical resistance – and it can be made down to 25µm. This is a research project, with challenges to manage and overcome, said Fraunhofer. The team has already made significantly improvements in glass edge strength, and the partners are optimistic that they will be able to bring glass-on-a-roll to market.
Source: http://www.electronicsweekly.com/news/research-news/glass-substrate-can-rolled-organic-electronics-2017-04/

Worldwide Flexible Printed Circuit Boards market is expected to increase at a CAGR of 11.0% by 2025
As per estimation in a new study by Transparency Market Research (TMR), the opportunity in the global flexible printed circuit boards market was USD 13.51 bn in 2016. This is expected to increase at a CAGR of 11.0% during the period from 2017 to 2025 and attain a value worth USD 33.39 bn by the end of the period of 2025. The demand for multi-layer flex circuits is expected to remain dominant during the forecasted period.
Source: http://www.prnewswire.com/news-releases/worldwide-flexible-printed-circuit-boards-market-is-expected-to-increase-at-a-cagr-of-110-by-2025-increasing-demand-for-consumer-electronics-to-boost-demand-says-tmr-617005244.html

Global Chip On Flex market is expected to grow from USD 1437 million in 2016 to USD 1795 million by 2021
According to a study by Market Research Future (MRFR), the increasing need for small and flexible electronics and rapid technological progression for increasing the accuracy lead to be the major growth factors for the Chip On Flex market. The global Chip On Flex market is therefore expected to grow from USD 1437 million in 2016 to USD1795 million by 2021, at an estimated CAGR of 4.43%. Increased cost of raw materials in related industries along with the highly complex configuration acts as a major restrains for the market of Chip On Flex.
Source: http://www.openpr.com/news/490409/Global-Chip-On-Flex-market-is-expected-to-grow-from-US-1437-Million-in-2016-to-US-1795-Million-by-2021.html

Global Printed Circuit Board Connectors Market – Analysis, technologies & forecasts to 2021 – OEMs shifting focus to development of autonomous vehicles
According to the “Global Printed Circuit Board Connectors Market 2017-2021” report by Research and Markets, the global printed circuit board connectors market is expected to grow at a CAGR of 3% during the period 2017-2021. This is mainly due to the latest trend and increasing demand for automotive electronics.
Source: http://www.businesswire.com/news/home/20170411005635/en/Global-Printed-Circuit-Board-Connectors-Market–

5 IoT trends to watch in 2017
The five key IoT trends to be watched in 2017 are LPWA (licensed spectrum low-power wireless access) technologies, which will hit the market this year. They open the door to low-cost, long-battery life devices for a variety of applications. Developer outreach programs will be of greater focus. Security will increase in importance. As instances of hacked IoT devices will increase, so will the importance of security as a prominent selling point. Integration of IoT data streams and machine-learning engines will move into focus. And IoT will drive service business models as early adopters explore ways of how to use IoT data and analytics to develop new, transformational business models.
Source: http://www.eetimes.com/author.asp?section_id=36&doc_id=1331513

Ibiden to power all of its Apple manufacturing with renewable energy
Apple manufacturing partner Ibiden is Apple’s first supplier in Japan to pledge the use of 100% renewable energy, spread across 20 new energy facilities. Ibiden will deliver over 12 megawatts of solar power, with a large portion coming from an array floating in a body of water in a converted lumberyard.
Source: http://appleinsider.com/articles/17/03/08/japanese-apple-manufacturing-partner-pledges-100-renewable-energy-use

Three hot trends in printed and flexible electronics
According to IDTechEx, the three hottest sectors in printed electronics are: 1. Flexibility is a stronger driver than cost reduction. The trend to create value beyond cost reduction continues, especially towards flexible display development. 2. Goodbye PCBs, hello structural electronics. The transition from PCBs to structural electronics bears many opportunities for companies. 3. Hybrid electronics: Using the best technology for the application. Printed electronics includes a broad range of enabling technologies at different points of commercialization and maturity.
Source: http://www.idtechex.com/research/articles/three-hot-trends-in-printed-and-flexible-electronics-00010707.asp

One step closer to transparent electronics
Transparent electronics is an emerging technology for printed circuit design. Recent advancements move us closer to realizing transparent electronics as a practical platform. Latest technical progresses also put us one step closer to practical transparent circuits, although there is still no perfect technology for generating transparent flex circuits.
Source: http://www.dknresearchllc.com/DKNResearchEnglish/Home.html

Global solder flux market is expanding
The global market for solder flux is influenced by the sturdy rise in the manufacturing of PCB, fueled by the increasing production of computers and mobile phones across the world. Although the global market for solder flux may not grow smoothly in the near future, it is expected to grow from USD 213.2 million in 2015 to USD 367.3 million by 2024, swelling at a CAGR of 6.30% between 2016 and 2024.
Source: http://www.transparencymarketresearch.com/solder-flux-market.html

India is becoming a hub for smartphone manufacturing in South Asia
India is becoming the fastest growing smartphone market in the world and its handset industry is poised to overtake America as the second largest market in the years to come. The mobile industry’s contribution to the country’s GDP is expected to grow from 6.5% to 8.2% by 2020. This growth potential of the mobile sector has resulted in the government taking measures to ensure manufacturing under its “Make in India” initiative, including policy changes.
Source: http://www.forbes.com/sites/krnkashyap/2017/02/22/heres-how-india-is-becoming-a-hub-for-smartphone-manufacturing-in-south-asia/#280cbf7f3be8

Global PCB connectors market 2017-2021
Technovia’s analysts forecast the global printed circuit board connectors market to grow at a CAGR of 3% during the period 2017-2021. The report covers the present scenario and the growth prospects.
Source: http://www.prnewswire.com/news-releases/global-printed-circuit-board-connectors-market-2017-2021-300409251.html

Global printed circuit board technologies market to reach USD 85.26 billion by 2015
According to a report offered by Research and Markets, the global PCB technologies market is poised to grow at a CAGR of around 3.5% over the decade, reaching USD 85.26 billion by 2025. The report analyses the global markets of PCB technologies across all the given segments on global as well as regional levels presented in the research scope and focuses on market trends, leading players, supply chain trends, technological innovations, key developments, and future strategies.
Source: http://www.prnewswire.com/news-releases/global-printed-circuit-board-technologies-market-to-reach-8526-billion-by-2025—by-product-application-laminate-type-geography—research-and-markets-300415727.html

Global automotive electronic control unit market 2017-2021
In a report by Technavio’s analysts, the global automotive ECU market is forecasted to grow at a CAGR of 7.4% during the period 2017-2021. ECU is a computer that controls one or many electrical systems in a vehicle. Modern ECUs employ both microprocessors and microcontrollers depending on the computational requirements.
Source: http://www.satprnews.com/2017/01/31/global-automotive-electronic-control-unit-market-2017-2021-published/

Global FPCB market to reach USD 27 billion by 2022
The global flexible printed circuit board market is expected to reach USD 27 billion by 2022, growing at a CAGR of 10.4% from 2016 to 2022. Demand for consumer electronic goods, Internet of Things (IoT), and FPCBs in automotive applications drive market growth, said Allied Market Research. Increase in demand for automated robots is expected to provide lucrative opportunities to market players. Multilayer FPCBs is expected to maintain an upwards trend throughout the forecast period. Rigid-flex FPCBs are also expected to witness significant growth, owing to the compact size and low power consumption.
Source: http://www.pcdandf.com/pcdesign/index.php/news-itemid-fix-2/11358-global-fpcb-market-to-reach-27b-by-2022

How good PCB design works for IoT
The latest design and manufacturing techniques mean that not only does a PCB mechanically support multiple electronic components as substrates, but it also electronically connects them through conductive paths to achieve specific functions as designed, writes C. T. Kao of Cadence Design Systems. It is inevitable that the interaction among electrical, mechanical, and thermal behaviors, due to the integration of conductive and non-conductive materials in a PCB, will determine its performance and applicability. Looking forward, PCB design will remain as a key element in a variety of innovative electronic devices, components, and systems, for many years to come. How to obtain a clear picture and enough information of the convolution and interaction arising from various physical mechanisms will be crucial for the time to market and quality of the products.
Source: http://www.electronicsweekly.com/news/good-pcb-design-works-iot-2017-01/

Printed, organic & flexible electronics forecasts, players and opportunities 2017-2027
A report recently published by IDTechEx states that the total market for printed, flexible and organic electronics will grow from USD 29.28 billion in 2017 to USD 73.43 billion in 2027. The majority of this is OLEDs (organic but not printed) and conductive ink used for a wide range of applications; while stretchable electronics, logic and memory, and thin film sensors have huge growth potentials as they emerge from R&D.
Source: http://www.idtechex.com/research/reports/printed-organic-and-flexible-electronics-forecasts-players-and-opportunities-2017-2027-000510.asp

Global smartphone shipments hit a record 1.5 billion units in 2016
According to latest research from Strategy Analytics, global smartphone shipments grew three percent annually to hit a record 1.5 billion units in 2016. Samsung maintained first position, followed by Apple and Huawei.
Source: http://www.businesswire.com/news/home/20170131006472/en/Strategy-Analytics-Global-Smartphone-Shipments-Hit-Record

Argentina

Buenos Aires

  • Sales office

Atotech Argentina S.A.
Paraná 4574
(1605) Buenos Aires
Argentina

Tel.: +54 11 4756 71 67

Email: francisco.llerena@atotech.com

Australia

Melbourne

IMCD Australia Pty Ltd
  • Distributor Australia and New Zealand

Level 1, 352 Wellington Road
Mulgrave, VIC, 3170
Australia

Phone: +61 3 8544 3125
Fax: +61 3 8544 3299

Your contact:

Rekha Kalian
rekha.kalian@imcd.com.au
Mobile: +61 426 255 118

Austria

Purkersdorf

Main office
  • Sales office

Atotech Österreich GmbH
Linzer Straße 63
3002 Purkersdorf
Austria

Tel.: +43 223 168 24 00

Email: atotech.at@atotech.com
Local information

Belarus

Minsk

  • Software and factory automation

Visutech Plating, An Atotech Group Company
38 Nemiga St.
220004 Minsk
Belarus

Tel.: +375 (17) 348 34 23
Fax: +375 17 270 29 72

Email: info@visutechplating.by

Bolivia

Santa Cruz

Anders Bolivia Ltda.
  • Distributor Bolivia

Anders Bolivia Ltda.
Av. Udalrico Zambrana 10,
4to Anillo y Radial 17 1/2
Zona los Bosques
Santa Cruz
Bolivia

Tel.: +591 3 355 24 34
Fax: +591 3 357 85 35

Email: katia.justiniano@qanders.com

Brazil

Sao Paulo

  • Chemistry production
  • TechCenter Electronics
  • TechCenter General metal finishing
  • Sales office

Galvanotécnica Ltda.
Rua Maria Patricia da Silva, 205
Taboão da Serra-SP
CEP 06787-480
Brasil

Tel.: +55 11 4138 99 00
Fax.: +55 11 4138 99 09

Email: vendas.tabo@atotech.com

Bulgaria

Sofia

Branch office Bulgaria
  • Sales office

Atotech Bulgaria EOOD
Sofia Nadejda BL. 329 vk. A ap. 8
1220 Sofia
Bulgaria

Tel.: +359 886 816 816

Email: elitsa.petrova@atotech.com

Chile

Santiago de Chile

Anders Chile SpA
  • Distributor Chile

Anders Chile SpA
Américo Vespucio 1385 P.E. Spacioflex Edificio A Módulo 9
Comuna de Quilicura
8730596 Santiago de Chile
Chile

Tel.: +56 2 2948 8100

Email: juan.arango@qanders.com

China

Hong Kong

Main office
  • Sales office

Atotech Asia Pacific Ltd.
Unit 906-909, 9/F, Mira Place Tower A
132 Nathan Road, Tsim Sha Tsui
Kowloon
Hong Kong

Tel.: +852 272 201 08
Fax.: +852 272 135 40

Email: Atotech.KOWL-COMM@atotech.com
Local information

Nanjing

  • Sales office

Atotech (China) Chemicals Ltd.
4/F., Donglai Business Center
No. 30, Longpan ZhongLu
Nanjing
China 210016

Tel.: +86 25 8480 1788
Fax.: +86 25 8482 0708

Email: Atotech.KOWL-COMM@atotech.com
Local information

Tianjin

  • Sales office

Atotech (China) Chemicals Ltd.
No. 2, Dong FangHong Road
Economic Development Zone of JingHai
Tianjin
China 301600

Tel.: +86 22 5952 7796
Fax.: +86 22 5952 7798

Email: Atotech.KOWL-COMM@atotech.com
Local information

China

Shanghai

  • TechCenter Electronics
  • TechCenter General metal finishing

Atotech (China) Chemicals Ltd.
No. 5399 Plant A6, Wai Qing Song Road
Qingpu District
Shanghai
China 201707

Tel.: +86 21 6921 0608
Fax.: +86 21 6921 0202

Email: Atotech.KOWL-COMM@atotech.com
Local information

Wenzhou

  • Sales office

Atotech (China) Chemicals Ltd.
No. 7, Juxin Road
Juguang, Industrial Park
Wenzhou City
China 325027

Tel.: +86 577 889 388 70
Fax.: +86 577 889 388 71

Email: Atotech.KOWL-COMM@atotech.com
Local information

Further service points

Laboratories in

  • Qingdao
  • Wuhan
  • Zhaoqing
  • Zhongshan
  • Xiamen
  • Ningbo

China

Guangzhou

  • TechCenter Electronics
  • TechCenter General metal finishing
  • Chemistry production
  • Equipment manufacturing

Atotech (China) Chemicals Ltd.
73, Xinzhuang 2-Lu
Yonghe District, GETDD,
Guangzhou
China 511356

Tel.: +86 20 8297 5160
Fax.: +86 20 8297 5170

Email: Atotech.KOWL-COMM@atotech.com
Local information

Chongqing

  • Sales office

Atotech (China) Chemicals Ltd.
Building 2, Zhongrun Industrial Park
No. 252, Tonghe Road
Tongliang Dist., Chongqing
China 400039

Tel.: +86 23 8519 1000
Fax.: +86 23 8519 3000

Email: Atotech.KOWL-COMM@atotech.com
Local information

Yangzhou

  • Chemistry production

Atotech (Yangzhou) Chemicals Ltd.
No.11, Hua Dian Road
Yangzhou Chemical Industry Park
Yizheng, Yangzhou, Jiangsu
China 211400

Tel.: (+86-514) 8397-4000
Fax.: (+86-514) 8397-4029

Colombia

Bogotá

Anders Colombia S.A.S.
  • Distributor Colombia

Anders Colombia S.A.S.
Calle 26 # 102-20 Oficina 303
Edificio Buro 26
110911 Bogotá
Colombia

Tel.: +57 1 7397598

Email: jose.gomez@qanders.com

Czech Republic

Jablonec nad Nisou

  • Chemistry production
  • TechCenter General Metal Finishing
  • Sales office

Atotech CZ, a.s.
Belgicka 5119
46605 Jablonec nad Nisou
Czech Republic

Tel.: +420 483 570 000

Email: jablonec@atotech.com

Ecuador

Quito

Anders Ecuador Cia. Ltda.
  • Distributor Ecuador

Anders Ecuador Cia. Ltda.
Calle N 68A De Los Aceitunos s/n.
170307 Quito
Ecuador

Tel.: +593 2 247 84 85
Fax: +593 2 247 86 49

Email: jose.gomez@qanders.com

Egypt

Cairo

Al Hoda Chemicals
  • Distributor Egypt

Al Hoda Chemicals
13 El Fardous St.
Extention of Ahmed Said St.
Abbasseya
Cairo

Tel.: +2 0127 3474 447
Tel.: +2 02 2685 5788

Email: Sales@alhodachemicals.com

France

Saint Ouen l'Aumône

  • Sales office

Atotech France
29, Avenue de l'Eguillette
ZA du Vert Galant
95310 Saint Ouen l'Aumône
France

Tel.: +33 1 34 30 20 60

Email: atotech.france@atotech.com
Local information

 

Germany

Berlin

Regional headquarters Europe
  • Research & development
  • TechCenter Electronics
  • TechCenter General metal finishing
  • Sales office

Atotech Deutschland GmbH & Co. KG
Erasmusstrasse 20
10553 Berlin
Germany

Tel.: +49 30 349 85 0
Fax.: +49 30 349 85 777

Email: info@atotech.com
Local information

Trebur

Corrosion Protection Competence Center
  • Chemistry production
  • Research & development
  • TechCenter General metal finishing

Atotech Deutschland GmbH & Co. KG
Untergasse 47
65468 Trebur
Germany

Tel.: +49 61 4750 13 0
Fax.: +49 61 4750 13 19/29

Local information

Germany

Feucht

Equipment
  • Manufacturing
  • Research & development
  • TechCenter
  • Sales office

Atotech Deutschland GmbH & Co. KG
Industriestrasse 69
90537 Feucht
Germany

Tel.: +49 9128 725 0
Fax.: +49 9128 725 424

Email: atotech.feucht@atotech.com
Local information

Germany

Neuruppin

Production
  • Chemistry production

Ahornallee 4
Industriegebiet Temnitz Park
16818 Werder/bei Neuruppin
Germany

Tel.: +49 33 920 611 16
Fax.: +49 33 920 611 19

Email: atotech.neuruppin@atotech.com
Local information

 

Greece

Thessaloniki

Hadjikypreos - Chemicals S.A.
  • Distributor Greece

Hadjikypreos – Chemicals S.A.
Electroplating Products & Equipment
Industrial Area of Thessaloniki
Block: 48B, Street: DA9
Thessaloniki
Sindos – GR 570 22

Tel.: +30 2310 797 505
Fax.: +30 2310 797 504

Email: info@hadjikypreos.gr

Hungary

Budapest

Branch office Hungary
  • Sales office

Atotech Österreich GmbH
Magyarországi Fióktelepe
H-1143 Budapest
Francia út 43.
Hungary

Tel.: +36 1 273 1484

Email: atotech.at@atotech.com

India

Gurugram

Atotech India Private Limited
(CIN No: U74999HR1996PTC033492)

  • Sales office
  • Chemistry production

Registered office:
66 KM Stone, N.H. 8, Delhi Jaipur Highway,
Village Sidhrawali, District Gurugram – 122413
Haryana, India

Tel.: +91 124 2679 620 / 621
Fax.: +91 1274 267 189

Email: atotech.hary-admin@atotech.com
Local information

Atotech Development Center Private Limited
(CIN: U73100HR2015FTC057006)

  • Research & development
  • TechCenter Electronics
  • TechCenter General metal finishing

Sales/corporate office:
Plot No. 446 - G & H, Sector-8,
IMT Manesar Gurugram-122050,
Haryana, India

Tel.: +91 124 6447900

Pune

  • Sales office

Atotech India Private Limited
128/2, Sanghavi Complex, Telco Road
Chinchwad, Pune, Maharashtra - 411019
India

Tel.: +91 20 274 416 01 / 02
Fax: +91 20 274 416 03

Ludhiana

  • Sales office

Atotech India Private Limited
First Floor, Zoom Building
Property No. B-XXIII, 2581/1, R.K. Road
Industrial area – A, Ludhiana
Punjab - 141003
India

Tel.: +91 161 4640 192
Fax.: +91 161 4640 192

India

Bengaluru

  • Customer support lab

Atotech Development Center Private Limited
74/B, West Phase
Electronic city Phase 1
Near Y SEC IT Software
Bengaluru 560100
Karnataka, India

Tel.: +91 8110 419 000
Fax.: +91 8110 419 020

Email: atotech.bang-admin@atotech.com
Local information

Mumbai

  • Sales office

Atotech India Private Limited
UNIT No. 209, Krishna Commercial Center 6
Udyog Nagar, Off S. V. Road, Goregaon West
Mumbai, Maharashtra - 400062
India

Tel.: +91 22 2878 3400
Fax.: +91 22 2878 8278

Chennai

  • Sales office

Atotech India Private Limited
303, SIDCO AIEMA Tower, 1st Main Road
Ambattur Industrial Estate
Chennai - 600 058
Tamil Nadu
India

Tel.: +91 44 4852 8963 / +91 96 000 71 757

Indonesia

Jakarta

  • Sales office

PT. Atotech Indonesia Chemicals
The Suite Tower level 7 – Union Space
Jkt outer Ring Road No. 1, RW.2
Kamal Muara, Kec. Penjaringan
Jakarta Utara 14470
Indonesia

Tel.: +62-21 30420687
Fax.: +62-21 30420688

Email: Atotech.ATT-Singapore-CSE@atotech.com
Local information

Israel

Akko

Global Environment Solutions LTD
  • Distributor Israel

Global Environment Solutions LTD
Industrial Zone
Po Box 2408
24123 Akko
Israel

Tel.: +972 4 98 76 107
Fax.: +972 4 98 76 133

Email: RikaB@ges.co.il

Italy

Milan

Main office
  • TechCenter General metal finishing
  • Sales office

Atotech Italia S.r.l.
Via Lecco, 6
20045 Lainate (MI)
Italy

Tel.: +39 02 933 021
Fax.: +39 02 933 021 99

Email: atotech.italia@atotech.com
Local information

Italy

Cluj-Napoca

  • Sales office

Atotech Sucursala Cluj Napoca
400117 Cluj
Romania

Phone: +40 736 639825

Email: atotech.romania@atotech.com

Japan

Yokohama

Regional headquarters FarEast
  • TechCenter Electronics
  • TechCenter General metal finishing

Atotech Japan K.K.
German Industry Park
1-18-2 Hakusan
Midori-ku, Yokohama
Kanagawa 226-0006
Japan

Tel.: +81 45 937 6116
Fax.: +81 45 937 6117

Email: japan@atotech.com
Local information

Koda

  • Chemistry production

Atotech Japan K.K.
1-6 Shimo-Ohbasan
Mutsuguri, Koda-cho
Nukata-gun, Aichi 444-0122
Japan

Tel.: +81 564 62 14 15
Fax.: +81 564 56 90 00

Email: japan@atotech.com
Local information

Japan

横浜

アジア拠点
  • TechCenter Electronics
  • TechCenter General metal finishing

アトテックジャパン株式会社
226-0006
神奈川県横浜市緑区白山1-18-2
ジャーマンインダストリーパーク

Tel.: +81 45 937 6116
Fax.: +81 45 937 6117

Email: japan@atotech.com
Local information

幸田町

  • 薬品製造工場

アトテックジャパン株式会社
444-0122
愛知県額田郡幸田町六栗下大迫1-6

Tel.: +81 564 62 14 15
Fax.: +81 564 56 90 00

Email: japan@atotech.com
Local information

Korea

Jangan

  • TechCenter Electronics
  • TechCenter General metal finishing
  • Chemistry production

Atotech Korea Ltd.
37, Jangangongdan 1-gil, Jangan-myeon,
Hwaseong-si, Gyeonggi-do, ZIP: 18579
Korea

Tel.: +82 31 359 3000
Fax.: +82 31 351 8557

Email: info-korea@atotech.com
Local information

Seoul

  • Sales office

Atotech Korea Ltd.
7F Dongsung building, 21 Gukhoe-Daero 62-Gil,
Yeongdeungpo-Gu, Seoul, ZIP: 07236
Korea

Tel.: +82 2 550 7200
Fax.: +82 2 561 0015

Email: info-korea@atotech.com

Busan

  • Sales office

Atotech Korea Ltd.
#403 Busan Cheongjeongdogeum Center,
20 Noksansandan 382-ro 14gil,
Gangseo-gu, Busan, ZIP: 46757
Korea

Tel.: +82 51 973 0510
Fax.: +82 51 973 1579

Email: info-korea@atotech.com

Korea

장안

  • TechCenter Electronics
  • TechCenter General metal finishing
  • Chemistry production

Atotech Korea Ltd.
경기도 화성시 장안면 장안공단 1길 37
(zip: 18579)

Tel.: +82 31 359 3000
Fax.: +82 31 351 8557

Email: info-korea@atotech.com
Local information

서울

  • Sales office

Atotech Korea Ltd.
서울시 영등포구 국회대로 62길 21
동성빌딩 7층 (ZIP: 07236)

Tel.: +82 2 550 7200
Fax.: +82 2 561 0015

Email: info-korea@atotech.com

부산

  • Sales office

Atotech Korea Ltd.
부산시 강서구 녹산산단 382로 14번가길 20
(송정동), 부산 청정도금센터 403호 (zip:46757)

Tel.: +82 51 973 0510
Fax.: +82 51 973 1579

Email: info-korea@atotech.com

Lithuania

Vilnius

  • Sales office

UAB Atotech-Chemeta
Konstitucijos pr. 21A
LT-08130 Vilnius
Lietuva

Tel.: +370 5 272 93 94
Local information

Generalinis direktorius

Gražina Kontrimavičiūtė
Tel.: + 370 5 272 93 94
El.paštas: Grazina.Kontrimaviciute@atotech.com

Lithuania

Verslo plėtros direktorius

Dr. Jaroslaw Rozwadowski
Tel.: + 48 61 662 27 35
El.paštas: Jaroslaw.Rozwadowski@atotech.com

Pardavimų vadovas

Juozas Daukšas
Tel.: + 370 5 272 93 94
El.paštas: Juozas.Daukshas@atotech.com

Malaysia

Penang

  • Chemistry production

Atotech Malaysia Sdn. Bhd.
1182, Lorong Perindustrian Bukit Minyak 22
Taman Perindustrian Bukit Minyak
14100 Simpang Ampat
Pulau Pinang

Malaysia

Tel.: +60 4 506 9800
Fax.: +60 4 506 2280

Email: atotech.pnng-cs@atotech.com

Malaysia

Selangor

  • Sales office

Atotech Malaysia Sdn. Bhd.
Lot 6.03A, Level 6, 1 Tech Park
Tanjung Bandar Utama
Bandar Utama, 47800 Petaling Jaya
Selangor Darul Ehsan

Malaysia

Tel.: +60 3 7732 3070

Email: atotech.pnng-cs@atotech.com

Mexico

Querétaro

  • Chemistry production
  • Sales office

Atotech de México S.A. de C.V.
Carretera Estatal 100
No. 4200 Lote 33/34, Interior 4-H/4-G
Parque Industrial Aeropuerto San Ildefonso
Colón, Querétaro

México, C.P. 76295

Tel.: +52 4422 9588 62

Mexico

Business Development Manager
Norberto Pineda Salinas
Email: norberto.pineda@atotech.com
Tel. (cell): +52 1 55 8580 7816

Sales Manager
José Alberto Benedito Morant
Email: alberto.benedito@atotech.com
Tel. (cell): +52 1 55 2272 0507

Peru

Lima

Anders Peru S.A.C.
  • Distributor Peru

Anders Peru S.A.C.
JR. PASEO DEL BOSQUE Nro. 500, Int. 301
URB. CHACARILLA DEL ESTANQUE
SAN BORJA, 15037 LIMA
Peru

Tel.: +51 1 615 86 00
Fax.: +51 1 615 86 10

Email: horst.eichhorn@qanders.com

Philippines

Muntinlupa City

  • Sales office

Atotech (Philippines) Chemicals, Inc.
401-402 B2 L7
CTP Alpha Building
Investment Drive
Madrigal Business Park
Alabang, Muntinlupa City
Philippines, 1780

Tel.: +63 2 834 0100
Fax.: +63 2 833 2282

Email: singapore-cse@atotech.com
Local information

Poland

Poznań

  • Sales office

Atotech Poland Sp.z o.o.
Ul. Marcelińska 92/94
60-324 Poznań
Poland

Tel.: +48 61 662 27 35
Fax.: +48 61 662 19 02

Email: atotech.pozn-office@atotech.com
Local information

Managing Director

Jarosław Rozwadowski
Email: jaroslaw.rozwadowski@atotech.com

Poland

Deputy Business Director, Business Manager GMF, Electronics

Rafał Janowicz
Tel.: +48 506 176 303
Email: rafal.janowicz@atotech.com

Przedstawiciel Techniczno-Handlowy GMF

(Klasyczna galwanotechnika – Procesy antykorozyjne, cynk lamelarny, nikiel chemiczny, chrom techniczny)

Magdalena Gortat
PMM CRC/ZF
Tel.: + 48 506 368 167
Email: magdalena.gortat@atotech.com

Przedstawiciel Techniczno-Handlowy GMF

(Klasyczna galwanotechnika - Technologie dekoracyjne, pokrywanie plastiku)

Jacek Chomiuk
PMM DECO/POP
Tel.: + 48 506 176 294
Email: jacek.chomiuk@atotech.com

Przedstawiciel Techniczno-Handlowy PST

(Technologie wspierające procesy malowania- przygotowanie powierzchni, stripowanie farby)

Tomasz Ilski
Tel.: +48 512 368 712
Email: tomasz.ilski@atotech.com

Przedstawiciel Techniczno-Handlowy Electronika

(PCB – Produkcja płytek obwodów drukowanych)

Michał Zaczek
Tel.: +48 61 662 27 35
Email: michal.zaczek@atotech.com

Portugal

Aveiro

  • Sales office

Atotech España S.A.U.
Sucursal em Portugal
Av. Europa, nº 473 C
3800 – 228 Aveiro
Portugal

Tel.: +351 234 729 800

Email: atotech.es@atotech.com
Local information

Spain

Erandio

Main office
  • Sales office

Atotech España S.A.U.
Sociedad Unipersonal
Apartado 156 - 48950 Erandio
Ribera de Axpe, 39
48950 Erandio - Bizkaia
España

Tel.: +34 944 8030 55 / 00
Fax.: +34 944 8030 21 / 12

Email: atotech.es@atotech.com
Local information

Spain

Barcelona

Delegación Cataluña and Valencia
  • Sales office

Atotech España S.A.U.
Sociedad Unipersonal
Parc Tecnològic del Vallès
Ronda Can Fatjó, 8
08290 Cerdanyola del Vallès (Barcelona)
España

Tel.: +34 93 680 11 77
Fax.: +34 93 680 00 22

Email: atotech.es@atotech.com
Local information

Portugal

Aveiro

Sociedad Unipersonal/Sucursal em Portugal
  • Sales office

Atotech España S.A
Sociedad Unipersonal
Sucursal em Portugal
Avda. Europa, Nr. 473 - C
3800 - 228 Aveiro
Portugal

Tel.: +351 234 729 800

Email: atotech.es@atotech.com
Local information

Romania

Cluj Napoca

Sucursala Italia
  • Sales office

Calea Dorobantilor Nr. 14-16
400117 - Cluj City Center, office 003
Romania

Tel.: +40 37106 4033
Fax.: +40 37289 3814

Email: atotech.romania@atotech.com

Scandinavia

Norrköping

  • Sales office

Atotech Skandinavien AB
Slottsgatan 33
Box 5, SE-60102 Norrköping
Sweden

Tel.: +46 11 36 11 00
Fax.: +46 11 10 01 62

Email: sales.scan@atotech.com

Singapore

Singapore

  • FEC competence center
  • TechCenter Electronics
  • Sales office

Atotech (Singapore) Chemicals Pte. Ltd.
8 Buroh Street
Unit #03-01
Surface Engineering Hub
Singapore 627563

Tel.: +65 6862 26 18
Fax.: +65 6862 15 06

Email: singapore-cse@atotech.com
Please send your job application to:
Atotech.SPOR-HRD@atotech.com
Local information

Slovakia

Banská Bystrica

  • Sales office

Atotech SK, s.r.o.
J. Chalupku 8
974 01 Banská Bystrica
Slovakia

Tel.: +421 484 700 162 164
Fax.: +421 484 700 161

Email: bystrica@atotech.com

Slovenija

Podnart

  • Chemistry production

Atotech Slovenija d.d.
Podnart 43
4244 Podnart
Slovenija

Tel.: +386 4 537 60 00

Email: Atotech.PODN-INFO@atotech.com

Informacija za javnost februar 2024

Spain

Erandio

Main office
  • Sales office

Atotech España S.A.U.
Sociedad Unipersonal
Apartado 156 - 48950 Erandio
Ribera de Axpe, 39
48950 Erandio - Bizkaia
España

Tel.: +34 944 8030 55 / 00
Fax.: +34 944 8030 21 / 12

Email: atotech.es@atotech.com
Local information

Spain

Barcelona

Delegación Cataluña and Valencia
  • Sales office

Atotech España S.A.U.
Sociedad Unipersonal
Parc Tecnològic del Vallès
Ronda Can Fatjó, 8
08290 Cerdanyola del Vallès (Barcelona)
España

Tel.: +34 93 680 11 77
Fax.: +34 93 680 00 22

Email: atotech.es@atotech.com
Local information

Portugal

Aveiro

Sociedad Unipersonal/Sucursal em Portugal
  • Sales office

Atotech España S.A
Sociedad Unipersonal
Sucursal em Portugal
Avda. Europa, Nr. 473 - C
3800 - 228 Aveiro
Portugal

Tel.: +351 234 729 800

Email: atotech.es@atotech.com
Local information

Switzerland

Courgenay

  • Sales office

Atotech Deutschland GmbH & Co. KG
Zweigniederlassung Courgenay
Vertrieb Schweiz
L'Armeratte 2
CH - 2950 Courgenay
Switzerland

Tel.: +41 32 471 31 19
Fax.: +41 32 566 13 29

Email: info.schweiz@atotech.com

Taiwan

Taipei

Main office
  • Sales office

Atotech Taiwan Limited
4F., No. 285
Sec. 3 Nanjing E. Rd.
Taipei 10550
Taiwan

Tel.: +886 2 2717 6868
Fax.: +886 2 2713 2732

Local information

Taoyuan

  • Sales office

Atotech Taiwan Limited
5F., No. 15
Jingguo Rd.
Taoyuan City 33050
Taiwan

Tel.: +886 3 356 2468
Fax.: +886 3 357 2585

Local information

Taiwan

Kaohsiung

  • Customer support lab

Atotech Taiwan Limited
4F., No. 47, Dazhong 2nd Rd.
Zuoying Dist., Kaohsiung City 813022
Taiwan

高雄市左營區大中二路47號4樓

Tel.: +886 7 343 2876
Fax.: +886 7 343 2898

Local information

Key Contacts / 主要聯絡人

金屬表面處理 GMF
李德芬 (Hannah Lee)
Phone: +886 2 2717 6868 #303
Email: Hannah.Lee@atotech.com

電子事業部 EL
李憶婷 (Bennia Lee)
Phone: +886 3 356 2468 # 501
Email: Bennia.Lee@atotech.com

觀音研發中心 Guanyin ATC
謝廷偉 (Casper Hsieh)
Phone: +886 3 438 9788 # 601
Email: Casper.Hsieh@atotech.com

半導體 SC
陳彥合 (Bobby Chen)
Phone: +886 3 356 2468 # 950
Email: Bobby.Chen@atotech.com

高雄研發中心Kaohsiung ATC
龔嫊婷 (Tina Kung)
Phone: +886 7 343 2876 # 701
Email: Tina.Kung@atotech.com

環安衛HES
郭宜慧 (Rosa Kuo)
Phone: +886 3 438 9788 # 881
Email: Rosa.Kuo@atotech.com

人資行政HR
陳雅莉 (Alice Chen)
Phone: +886 2 2717 6868 # 113
Email: Alice.Chen@atotech.com

Taiwan

Guanyin

  • TechCenter Electronics
  • Chemistry production

Atotech Taiwan Limited
11, Jingjian 2nd Rd.
Guanyin Industrial Park
Taoyuan City 32853
Taiwan

Tel.: +886 3 438 9788
Fax.: +886 3 438 9792

Local information

Thailand

Bangkok

  • Sales office

Atotech (Thailand) Co., Ltd.
11th Floor, 1 TP&T Tower
Soi 19, Vibhavadee Rungsit Road
Chatuchak, Chatuchak, Bangkok 10900
Thailand

Tel.: +66 293 618 73
Fax.: +66 293 618 76

Email: thomas.beck@atotech.com

The Netherlands

De Meern

Main office

Atotech B.V.
Strijkviertel 35-2
3454 PJ De Meern
The Netherlands

Tel.: +31 30 240 90 10

Email: sales.nl@atotech.com

The Netherlands

Sofia

Branch office Bulgaria

Atotech B.V. (Nederland)
Zk Drujba Bl. 223-B-46
1582 Sofia
Bulgaria

Tel.: +359 886 816 816
Fax.: +359 888 634 149

Email: elitsapetrova@atotech-bg.com

Turkey

Istanbul

  • Sales office
  • Customer service lab

Atotech İstanbul Kimya Sanayi Tic. Ltd. Şti.
Barbaros Mah.
Nesime Hanım Sok. No:4
34746. Ataşehir - İstanbul
Turkey

Tel.: +90216 593 23 90
Fax.: +90216 593 23 98

Email: kansav.atila@atotech.com

Turkey

Izmir

  • Sales office
  • Customer service lab

Atotech İstanbul Kimya Sanayi Tic. Ltd. Şti.
Halkapınar Mah.
1203/12 Sok. No: 2/1.
35170. Konak - İzmir
Turkey

Tel.: +90 232 435 92 93
Fax.: +90 232 435 95 99

Email: kansav.atila@atotech.com

Ukraine

Kiev

GALVANOMAYSTER Ltd.
  • Distributor Ukraine

GALVANOMAYSTER Ltd.
Mr. Volodymyr Baranovskyi
Yevhen Sverstiuk Str. 11
02660 Kiev
Ukraine

Tel.: +38 044 516 86 90
Fax.: +38 044 517 16 13

Email: v.baranovskyi@galvanomayster.kiev.ua

United Kingdom

West Bromwich

  • Sales office

Atotech UK Ltd.
William Street, West Bromwich
West Midlands B70 0BG
United Kingdom

Tel.: +44 121 606 77 77
Fax.: +44 121 606 72 00

Email: sales.uk@atotech.com
Local information

UAE

Ajman

Al Taher Chemicals
  • Distributor U.A.E.

Al Taher Chemicals Trading LLC
Near Lucky R/A
Industrial Area-2
P.O. Box – 18912
Ajman
U.A.E.

Tel.: +971 6 7482593

Email: info@altaherchemicals.com

USA

Rock Hill

Regional headquarters
  • Chemistry production
  • TechCenter Electronics
  • TechCenter General metal finishing

Atotech USA, LLC
1750 Overview Drive
Rock Hill, SC 29730
USA

Tel.: +1 803 817 3500
Fax.: +1 803 817 3602

Email: usainfo@atotech.com
Local information

USA

Detroit

  • Customer analytics laboratory
  • Warehouse

Atotech USA, LLC
35840 Beattie Drive,
Sterling Heights, Michigan 48312
USA

Tel.: 1-800-PLATING

Email: usainfo@atotech.com
Local information

Vietnam

Ho Chi Minh City

Main office
  • Sales office
  • Customer service lab

Atotech Vietnam Co., Ltd.
5F Hai Au Building
39B Truong Son Street, Ward 4
Tan Binh District
Ho Chi Minh City
Vietnam

Tel.: +84 8 6296 1670
Fax.: +84 8 6296 1675

Vietnam

Hanoi

  • Sales office
  • Customer service lab

Atotech Vietnam Co., Ltd.
Floor 2, VPI Building
Trung Kinh Street, Yen Hoa Ward
Cau Giay District
Hanoi City
Vietnam

Tel.: +84 4 3768 7618
Fax.: +84 4 3768 7619






    By entering your email address, you agree that we will answer your request via email.






      By entering your email address, you agree that we will answer your request via email.