Führende galvanische Kupferbäder für Panel- und Pattern Plating und für das Füllen von Sackloch- und

Durchgangsbohrungen sowie Vorbehandlungen und galvanische Bäder für Endoberflächen

Unser Produktportfolio

Elektrolytische Metallabscheidung

Ganzheitliches System von nasschemischer Verfahrens- und Anlagentechnik für Package-Substrate und Leiterplatten

Fakten im Überblick

  • Elektrolytische Metallabscheidung für höchste Anforderungen an Zuverlässigkeit und Produktivität
  • Lösungen für verschiedene Anlagentypen: Uniplate® IP2, V-Plate® und andere vertikale Durchlaufanlagen (VCP), Vertikal-Gestellanlagen
  • Marktführende Uniplate® IP2-Anlagen für horizontale Durchlaufproduktion

Anwendungen

  • Gleichmäßige Metallabscheidung
  • Füllen von Sacklochbohrungen (BMV)
  • Füllen von Durchgangsbohrungen (TH)
  • Vorbehandlung
  • Metallresist, galvanische Bäder für Endoberflächen

Produktübersicht

Gleichmäßige Kupferabscheidung

Panel 2.4 mm thickness incl. flash copper, hole diameter 0.2 mm, aspect ratio: 12:1, throwing power: > 85%

  • Gleichmäßige Metallabscheidung für die Massenproduktion mit Uniplate® InPulse 2-Anlagen: Durch die gute Metallstreuung in Sacklochbohrungen kann mit Inpulse® 2HFU eine zuverlässige Metallisierung selbst bei Bohrungsdefekten und wedge voids erfolgen. Das Verfahren ist bei der mSAP-Technologie die ideale Lösung für zuverlässiges Flash Plating. Inpulse® 2HT gewährleistet selbst in Bereichen hoher und niedriger Bohrlochdichte ein Höchstmaß an Gleichmäßigkeit der Metallisierung in Durchgangsbohrungen und auf der Oberfläche.
  • Die neueste Version der Atotech Cupracid® TP Serie: Cupracid® TP5. Hierbei handelt es sich um ein galvanisches Kupferbad für konventionelle Vertikal-Gestellanlagen mit Gleichstrom mit löslichen Anoden. Es bietet eine hervorragende Streufähigkeit in Durchgangsbohrungen und BMVs bei hohen Stromdichten. Darüber hinaus bietet Cupracid® TP5 bietet hervorragende Zuverlässigkeitsergebnisse und eignet sich daher z. B. für die anspruchsvolle Automobilproduktion.
  • Cupracid® AC5 ist unser Verfahren der nächsten Generation für die konforme Kupferbeschichtung mit löslichen Anoden. Es bietet gute Streufähigkeit sowohl in BMVs als auch in Durchgangsbohrungen bei hohen Stromdichten. Es ist mit einer breiten Palette von Metallisierungsprozessen auf dem Markt kompatibel und eignet sich ideal für die Automobilproduktion. Cupracid® AC5 ist mit einer Vielzahl von vertikalen Durchlaufanlagen (VCP) mit Elektrolytbewegung durch Eduktoren sowie in Vertikal-Gestellanlagen mit Lufteinblasung einsetzbar.
  • Cuprapulse® XP8 für Vertikal-Anlagen mit löslichen Anoden ist der Nachfolger unserer bekannten Reverse Pulse Plating-Lösung Cuprapulse® XP7 für die gleichmäßige Kupferabscheidung bei hohem Aspektverhältnis. Die Gleichstromtechnologie kommt nicht einmal annähernd an die mit Cuprapulse® XP8 erzielte Streufähigkeit heran. Die hohe Stromdichte beim Reverse Pulse Plating ermöglicht eine höhere Produktivität bei gleichzeitiger Qualitätsverbesserung wie bessere Oberflächenverteilung und Leiterbahnform. Cuprapulse® XP8 bietet eine bessere Prozessstabilität, ein größeres Arbeitsfenster und ein verbessertes Oberflächenbild.
  • Cuprapulse® IN ist unsere Antwort auf die steigende Marktnachfrage nach vertikalem Reverse Pulse Plating mit unlöslichen Anoden in VCP- und Vertikal-Gestellanlagen. Es bietet ein gleichmäßigeres Kupferaussehen im Vergleich zu Standard-Reverse Pulse Plating-Prozessen mit löslichen Anoden. Es kann bei turbulenten Strömungsbedingungen betrieben werden, ohne daß es zu einem Ausölen oder dem sogenannten „two-tone effect“ kommt. Die Reverse Pulse Plating ermöglicht eine überragende Streufähigkeit bei kürzester Beschichtungszeit für einen hohen Durchsatz in Ihrer Beschichtungsanlage.
  • Speziell für die Anforderungen des Flex- und Starrflex-Marktes haben wir InPro® FLEX2 / Cupracid® FLEX2 entwickelt. Beide Verfahren bieten eine gleichmäßige Kupferabscheidung bei hohen Stromdichten und bieten eine hervorragende Streufähigkeit in Durchgangsbohrungen. Die mit beiden Verfahren abgeschiedenen Schichten haben ein ausgezeichnetes Kristallgefüge, eine hohe Duktilität und eine sehr gute Biegefähigkeit, um die höchsten Anforderungen flexibler Leiterplatten zu erfüllen. InPro® FLEX2 ist für die Verwendung mit unlöslichen Anoden vorgesehen, Cupracid® FLEX2 arbeitet mit löslichen Anoden.
Füllen von Sacklochbohrungen (BMV) mit Kupfer

BMV filling in panel and pattern mode (Inpulse® 2HF and Inpulse® 2MSAP)

  • Füllen von Sacklochbohrungen in Horizontal-Anlagen: Inpulse® 2HF9 bietet ein hervorragendes BMV-Fülllergebnis (Superfilling®). Mit dem Superfilling®-Prozess werden sehr gute Füllergebnisse bei minimaler Kupferabscheidung auf der Oberfläche erzielt. Dadurch eignet sich der Atotech Superfilling®-Prozess, bestehend aus der Uniplate® InPulse 2-Anlage in Kombination mit der Fe-Redox-Kupferergänzung und dem Inpulse® 2HF9-Kupferbad, ideal für die High-End-Massenproduktion von HDI-Leiterplatten und wird bereits vielfach dafür eingesetzt.
  • Füllen von Sacklochbohrungen in Vertikal-Anlagen: InPro® MVF und InPro® MVF2 sind Atotech’s Kupferelektrolyte die in VCP-Anlagen zum Füllen von Sacklochbohrungen von aktuellen und zukünftigen HDI-Leiterplattendesigns eingesetzt werden. Beide Kupferbäder sind für den Gebrauch von unlöslichen Anoden mit Gleichstrom konzipiert und haben bei geringer Schichtdicke auf der Oberfläche eine hervorragende Filling-Performance bei Sacklochbohrungen ohne „Dome-Plating“.
  • Das in der Massenproduktion bewährte InPro® THF wird in VCP-Anlagen mit unlöslichen Anoden zum Füllen von Durchgangsbohrungen sowie auch zum Füllen von Sacklochbohrungen in Panel oder Pattern Plating bei hohen Stromdichten für mSAP-Anwendungen eingesetzt. Es ist die Referenz für mSAP-Anwendungen. Die nächste Generation InPro® THF2 bietet eine verbesserte Filling-Performance, bessere Oberflächenverteilung und Duktilität, welche besonders wichtig bei der amSAP-Fertigung ist.
  • InPro® SAP3 ist unser in der Massenproduktion bewährtes Verfahren für das Füllen von Sacklochbohrungen mit Kupfer bei IC Substraten in vertikalen Durchlaufanlagen (VCP) mit unlöslichen Anoden. Dieser Prozeß ermöglicht eine exzellente Oberflächenverteilung innerhalb eines Fertigungsnutzens, dadurch kann die Produktivität durch höherer Stromdichten erhöht werden. Der Elektrolyt hat ein großes Arbeitsfenster, in dem eine gute Filling-Performance erzielt wird und gewährleistet zuverlässig hohe Fertigungsresultate bei Fine Line-Anwendungen. InPro® SAP6 ist unser Prozeß der nächsten Generation. Er kann mit noch höheren Stromdichten betrieben werden, um die Produktivität zu steigern. InPro® SAP6 bietet im Vergleich zur POR-Chemie die beste Oberflächenverteilung innerhalb eines Fertigungsnutzens auf dem Markt für anspruchsvolle IC-Schichten und eine hervorragendes Oberflächenbild.
  • Das Füllen von Sachlochbohrungen bei flexiblen Leiterplatten, speziell wenn RA-Kupferfolien verwendet werden, ist nicht einfach. In diesem Fall ist es schwierig ein gleichmäßig gutes Füllverhalten bei einer gleichzeitig glänzenden Kupferschicht abzuscheiden. Grund dafür ist die typische Kristallstruktur des RA-Kupfers. InPro® FLEXFILL bietet eine glänzende Kupferabscheidung sowie ein zuverlässiges Füllverhalten selbst bei „half etched“ RA-Kupferfolien und erfüllt dadurch die Industriestandards für Zuverlässigkeit von flexiblen Leiterplatten. InPro® FLEXFILL kann sowohl in vertikalen Durchlaufanlagen (VCP), Reel-to-Reel-Durchlaufanlagen sowie in vertikalen Gestellanlagen mit inerten Anoden und Elektrolytbewegung mittels „sparger“ eingesetzt werden.
Füllen von Kupferdurchgangsbohrungen

Laser drilled , inclusion-free through hole: Diameter 100 µm, panel thickness 0.2mm, plated Cu 15 µm

  • In der Kombination Uniplate® InPulse 2-Anlage mit Inpulse® 2THF2 ist dieses System hervorragend zum Füllen von Durchgangsbohrungen geeignet, insbesondere für Innenkerne mit weniger als 5 µm Kupferkaschierung. Das System Inpulse® 2THF2 wird mit Reverse-Pulse-Plating betrieben und füllt die Durchgangsbohrungen zuverlässig und ohne Einschlüsse (inclusion-free). Dabei wird unser patentierter X-Plating-Prozess mit dem Superfilling®-Prozess kombiniert, um eine möglichst geringe Schichtdicke auf der Oberfläche abzuscheiden.
  • InPro® THF wird weltweit in VCP-Anlagen mit Gleichstrom zum Füllen von lasergebohrten Durchgangsbohrungen (LTH) bei der Massenproduktion von IC-Substraten eingesetzt. InpPro® 2THF2 ist die nächste Elektrolyte-Generation und bietet eine verbesserte Filling-Performance und Oberflächenverteilung. Beide Elektrolyte können auch zum Füllen von BMVs in Pattern Plating mit hohen Stromdichten für die (a)mSAP-Produktion verwendet werden.
Metall-Resist-Beschichtung (Zinn)

  • Sulfotech® LST ist unser Metallresist-Verfahren, das eine außergewöhnliche Verteilung der beschichteten Oberfläche aufweist. Der Elektrolyt kann kostengünstig mit Schwefelsäure gefahren werden, es gibt auch eine MSA-Variante. Er scheidet feinkörniges, dichtes Zinn für optimale Ätzbeständigkeit ab. Der Elektrolyt weist eine niedrige Oberflächenspannung auf und erzielt gute Ergebnisse bei BMVs und hohen Aspektverhältnissen. Darüber hinaus entsprechen die Prozessadditive den EU-Umweltvorschriften und sind frei von NPE und Methanol.
  • Tinpulse® SC : Ermöglicht eine hohe Produktivität durch Abscheidung im Pulseverfahren (3-4x höher im Vergleich zum Standard-Gleichstromverfahren) für Metallresistanwendungen. Der Prozeß bietet eine hervorragende Streuffähigkeit in BMVs und Durchgangsbohrungen mit hohem Aspektenverhältnis (AR bis zu 20:1) und der Einsatz des Pulseverfahrens verbessert die Kristallstruktur für eine optimale feinkörnige, dichte Zinnabscheidung. Das Pulseverfahren bietet darüber hinaus eine hervorragende Oberflächenverteilung, was zu einer Kostenreduzierung von ca. 30 % beim Anodenmaterial führt und die Gefahr von Kurzschlüssen und sogenanntes „Mushrooming“ verringert.

Galvanische Endoberflächen

SolderFill for filling of smallest SRO’s

  • Nikotron®: Weiche, duktile und spannungsarme Nickelschichten. Eigenspannung und Härtegrad sind einstellbar.
  • Aurotron®: Galvanische Goldbäder für Drahtbonden und Löten sowie Hartgoldanwendungen.
  • Pallatron: Galvanische Palladiumabscheidung für hohe Zuverlässigkeit und reduzierte Prozesskosten bei Ni/Pd/Au-Anwendungen.
  • SolderFill®: Prozess für die Highspeed-Abscheidung von Zinn für Löt-Depot-Anwendungen. Dieser Prozess kann eingesetzt werden, wenn der Lötpastendruck und das Platzieren von Micro-balls an ihre Grenzen stossen.
  • StannoBond®: Galvanisches Zinnbad für Lötanwendungen auf Copper Pillars und für sogenanntes Thermo Compression Bonding.
RDL- und Pillar-Plating
  • Die Produktfamilie Innolyte® wurde für MultiPlate®-Anlagen entwickelt. Unsere hochreinen Innolyte®-Chemikalien wurden entwickelt, um RDL-Strukturen und Pillars bei hohen Stromdichten abzuscheiden und dabei eine hervorragende Verteilung der abgeschiedenen Strukturen zu erzielen. Das abgeschiedene Kupfer ist von hochrein, um beste Materialeigenschaften für höchste Zuverlässigkeit zu erzeugen.
Vorbehandlung

Cleaning for 3 min at 35 °C: no attack and no dry film lift off

  • CupraPro® S8: Biologisch abbaubarer Reiniger für HDI Panel- und Pattern-Plating in Vertikal Gestell-Anlagen. CupraPro® S8 hat eine sehr geringe dynamische Oberflächenspannung und erzielt dadurch beste Benetzungs- und Reinigungsergebnisse bei reduzierter Ausschleppung.
  • CupraPro® MV: Biologisch abbaubarer Reiniger für Panel- und Pattern-Plating bei der Beschichtung von IC-Substraten, insbesondere in Vertikal Gestell-Anlagen. CupraPro® MV enthält kein NPE und ermöglicht dank seiner geringen dynamischen Oberflächenspannung eine schnelle und effektive Benetzung insbesondere für Via-Filling-Anwendungen bei gleichzeitig reduziertem Drag-Out.
  • CupraPro® VC: Ist ein neuer saurer Reiniger für Panel- und Pattern-Plating speziell entwickelt für den Einsatz in Vertikal Durchlaufanlagen (VCP). Der Reiniger zeichnet sich durch eine geringe Schaumbildung selbst bei stark turbulenter Strömung aus und sorgt für eine schnelle und effektive Benetzung insbesondere bei Durchgangs- und Sacklochbohrungen mit hohem Aspektenverhältnis.

Cupracid® AC5

Konforme Kupferbeschichtung bei hohen Stromdichten in VCP- und Vertikal-Gestellanlagen

Unsere neue Lösung für konforme Kupferbeschichtung Cupracid® AC5 wurde speziell für den Einsatz in VCPs bei hohen Stromdichten entwickelt. Bei einem Aspektverhältnis von bis zu 12:1 hat es das Potenzial, zum Industriestandard für hohe Streufähigkeit in BMVs und Durchgangsbohrungen zu werden.

Uniplate® InPulse 2

Das führende, ganzheitliche System für die galvanische Kupferabscheidung in Horizontal Durchlaufanlagen

Die Uniplate® InPulse 2-Anlagen und -Prozesse erfüllen alle Anforderungen für die High-End-Produktion von Leiterplatten bei hohen Stromdichten mit Reverse Pulse Plating und unlöslichen Anoden.

  • Inpulse® 2HF9 – Atotechs SuperFilling®-Prozess für eine zuverlässige HDI-Massenfertigung mit Stacked-Via-Technologie.
  • Inpulse® 2THF2 – einzigartiges Verfahren für einschlußfreies Füllen von Durchgangsbohrung bei minimaler Kupferschichtdicke
  • Inpulse® 2HFU2 – optimale Vorbereitung von Sacklochbohrungen für die nachfolgenden Strukturierung und BMV Filling beim mSAP-Produktionsprozeß

Erfahren Sie mehr

vPlate®

Atotechs Lösung für die vertikalen Durchlaufanlagen

Die einzigartige vPlate®-Anlage bietet einzigartige technische Merkmale wie ein automatisches Schmiersystem und den automatischen Jig-Tester, um die beste Ergebnisse zu gewährleisten. Zusammen mit unseren InPro®- und Cuprapulse®-Prozessen deckt diese Kombination von Chemie und Anlage alle relevanten Anwendungen von MLB, HDI, ICS und Starrflex in Panel- und Pattern-Plating ab.

  • Cuprapulse® IN – Erste Wahl für die konforme Beschichtung von HAR-Platinen mit der besten Streuung mittels Pulse Plating und unlöslichen Anoden.
  • InPro® SAP3 – Einschlußfreies Füllen von Sacklochbohrungen bei minimaler Kupferschichtdicke für ICS-Anwendungen.
  • InPro THF2 – Eignet sich nicht nur für zuverlässiges Verfüllen von Durchgangsbohrungen, sondern bietet auch ein hervorragendes BMV-Füllverhalten, hohe Oberflächenverteilung und erhöhte Duktilität speziell für den (a)mSAP-Herstellungsprozeß.
  • InPro® VLF – Wenn Sie nach besserer Oberflächenverteilung, höherer Stromdichte, höherem Durchsatz und geringerer Wartung bei der konformen Beschichtung suchen, ist InPro® VLF die richtige Wahl.

Erfahren Sie mehr

MultiPlate® P

Die Lösung von Atotech für die Packaging-Technologien der nächsten Generation

  • MultiPlate® ist das ECD-System, das die Vielseitigkeit und Multifunktionalität bietet, die notwendig ist, um aktuelle und zukünftige Herausforderungen für eine optimale Performance hochentwickelter Packaging-Technologien zu bewältigen. Das MultiPlate® P-System ist für Panel-Level-Packaging konzipiert und kann Panels bis zu einer Größe von 650 × 610 mm verarbeiten.
  • Innolyte® PLP – Der RDL- und Via-Filling-Prozess bietet eine sehr gute Oberflächenverteilung und Via-Filling-Performance und zugleich rechteckig abgeschiedene Leiterbahnen.
  • Innolyte® P – Für Copper-Pillar-Plating für eine hochreine Abscheidung bei Stromdichten von bis zu 20 A/dm² ohne Einschlüsse in IMC und bester Gleichmäßigkeit.

“We offer cost-efficient solutions to the PCB and FOPLP industry with the full spectrum of applications for electrolytic plating of Cu, Sn, Ni, Pd, Au and suitable pre-treatments. Our portfolio comprises of processes for all types of equipment from horizontal to VCP and hoist type systems.“

Bert Reents
Global Product Director Electrolytic Plating at Atotech Germany

Aktuelle Veröffentlichungen

Das Füllen von Sackloch- und Durchgangsbohrungen mit galvanisch Kupfer-Prozessen – Aktueller Stand und Ausblick

Dieser Artikel wurde in Zusammenarbeit mit der GreenSource Fabrication LLC. USA erstellt und erstmals auf der IPC APEX EXPO 2019 präsentiert. In dem Artikel werden die Gründe für die Entwicklung von Via-Filling mit galvanischen Kupferprozessen beschrieben und beinhaltet eine Roadmap für das Füllen von Durchgangs- und Sacklochbohrungen mit Bezug auf ihre Dimensionen. Zudem werden Aspekte anderer kupferbeschichteter Strukturen auf Leiterplatten thematisiert. Darüber hinaus sind Machbarkeitsstudien für zukünftige Anwendungen wie zum Beispiel Copper-Pillar-Plating bei IC-Substraten enthalten.

2019, PDF, 540 KB

Vergrößerung des Fertigungsformats für die Verkupferung bei FOPLP (Fan Out Panel Level Packaging) zur Senkung der Herstellungskosten

Die ständig wachsende Nachfrage nach leistungsfähigeren, kostengünstigeren und dünneren Endgeräten wie Smartphones erfordert intensive Entwicklungen und Innovationen in allen Bereichen der Entwicklung elektronischer Komponenten, einschließlich des Substrat- und Chip-Packagings. Neue Fertigungstechnologien wie z.B. Fan-Out-Wafer-Level-Packaging und andere Hightech-Substrate werden kontinuierlich weiterentwickelt und versprechen ein entscheidendes Element bei der Erfüllung dieser Anforderungen zu sein. In dem Artikel werden die neuesten Studien und Schlussfolgerungen in kritischen Leistungsbereichen des Beschichtungsprozesses vorgestellt, wie z. B. die Elektrolytbewegung, Einfluss des Anodenauslegung, Reverse Pulse Plating und neu entwickelte Elektrolyte für Panelgrößen von bis zu 600 mm.
Dieser Artikel wurde ursprünglich auf der IMAPS 2018 in Pasadena veröffentlicht.

2018, PDF, 900 KB

Steigerung der Produktivität bei der Herstellung von IC-Substraten durch die Verwendung eines neuartigen Kupferelektrolyten für die Semi Additive Plating

Das Semi-Additivverfahren (SAP) hat in den letzten Jahren an Attraktivität gewonnen, weil es sehr geringe Leiterbahnbreiten und -abstände bei der Herstellung von IC-Substraten ermöglicht. Bei Leiterbahnbreiten und -abständen (L/S) von 10/10 μm und weniger ist die Varianz der Kupferschichtdicke einer der entscheidenden Parameter, die in einem engen Bereich eingehalten werden muss, um Probleme bei der Bestückung oder während der Nutzungsdauer zu vermeiden. Diese Abhandlung enthält die Ergebnisse der Untersuchungen der Autoren zu Atotech‘s neuesten Via-Filling Prozess für IC-Substrate in Bezug auf die Varianz der Kupferschichtdicken (WUD), Dimple-Ergebnisse, Filling-Performance und Schliffbilder.
Dieser Artikel wurde ursprünglich auf der EPTC 2018 in Singapur veröffentlicht.

2018, PDF, 320 KB

Fine-Line-TH-Copper Filling in VCP-Anlagen für die nächste Packaging-Generation

In diesem Artikel wird das Füllen von Durchgangsbohrungen mit galvanisch abgeschiedenen Kupfer bei den Kernen für die IC-Package-Produktion vorgestellt, insbesondere für FC-BGA- und FC-CSP- Anwendungen. Detailliert wird der Einfluss der Elektrolytbewegung, Stromdichte, anorganischen und organischen Konzentrationen auf das Füllverhalten beschrieben und diskutiert. Das Ergebnis unserer Untersuchungen ist ein Prozess mit verbesserter Filling-Performance bei Durchgangsbohrungen mit einer geringsten Auftrittswahrscheinlichkeit von Einschlüssen und hervorragender Oberflächenverteilung.
Dieser Artikel wurde ursprünglich auf der SMTA 2017 in Chicago veröffentlicht.

2017, PDF, 510 KB

Schreiben Sie uns






    Mit der Angabe Ihrer E-Mail-Adresse erklären Sie sich damit einverstanden, dass wir Ihre Anfrage per E-Mail beantworten.

    Output

    Argentina

    Buenos Aires

    • Sales office

    Atotech Argentina S.A.
    Paraná 4574
    (1605) Buenos Aires
    Argentina

    Tel.: +54 11 4756 71 67

    Email: francisco.llerena@atotech.com

    Australia

    Melbourne

    IMCD Australia Pty Ltd
    • Distributor Australia and New Zealand

    Level 1, 352 Wellington Road
    Mulgrave, VIC, 3170
    Australia

    Phone: +61 3 8544 3125
    Fax: +61 3 8544 3299

    Your contact:

    Rekha Kalian
    rekha.kalian@imcd.com.au
    Mobile: +61 426 255 118

    Austria

    Purkersdorf

    Main office
    • Sales office

    Atotech Österreich GmbH
    Linzer Straße 63
    3002 Purkersdorf
    Austria

    Tel.: +43 223 168 24 00

    Email: atotech.at@atotech.com
    Local information

    Belarus

    Minsk

    • Software and factory automation

    Visutech Plating, An Atotech Group Company
    38 Nemiga St.
    220004 Minsk
    Belarus

    Tel.: +375 (17) 348 34 23
    Fax: +375 17 270 29 72

    Email: info@visutechplating.by

    Bolivia

    Santa Cruz

    Anders Bolivia Ltda.
    • Distributor Bolivia

    Anders Bolivia Ltda.
    Av. Udalrico Zambrana 10,
    4to Anillo y Radial 17 1/2
    Zona los Bosques
    Santa Cruz
    Bolivia

    Tel.: +591 3 355 24 34
    Fax: +591 3 357 85 35

    Email: katia.justiniano@qanders.com

    Brazil

    Sao Paulo

    • Chemistry production
    • TechCenter Electronics
    • TechCenter General metal finishing
    • Sales office

    Galvanotécnica Ltda.
    Rua Maria Patricia da Silva, 205
    Taboão da Serra-SP
    CEP 06787-480
    Brasil

    Tel.: +55 11 4138 99 00
    Fax.: +55 11 4138 99 09

    Email: vendas.tabo@atotech.com

    Bulgaria

    Sofia

    Branch office Bulgaria
    • Sales office

    Atotech Bulgaria EOOD
    Sofia Nadejda BL. 329 vk. A ap. 8
    1220 Sofia
    Bulgaria

    Tel.: +359 886 816 816

    Email: elitsa.petrova@atotech.com

    Chile

    Santiago de Chile

    Anders Chile SpA
    • Distributor Chile

    Anders Chile SpA
    Américo Vespucio 1385 P.E. Spacioflex Edificio A Módulo 9
    Comuna de Quilicura
    8730596 Santiago de Chile
    Chile

    Tel.: +56 2 2948 8100

    Email: juan.arango@qanders.com

    China

    Hong Kong

    Main office
    • Sales office

    Atotech Asia Pacific Ltd.
    Unit 906-909, 9/F, Mira Place Tower A
    132 Nathan Road, Tsim Sha Tsui
    Kowloon
    Hong Kong

    Tel.: +852 272 201 08
    Fax.: +852 272 135 40

    Email: Atotech.KOWL-COMM@atotech.com
    Local information

    Nanjing

    • Sales office

    Atotech (China) Chemicals Ltd.
    4/F., Donglai Business Center
    No. 30, Longpan ZhongLu
    Nanjing
    China 210016

    Tel.: +86 25 8480 1788
    Fax.: +86 25 8482 0708

    Email: Atotech.KOWL-COMM@atotech.com
    Local information

    Tianjin

    • Sales office

    Atotech (China) Chemicals Ltd.
    No. 2, Dong FangHong Road
    Economic Development Zone of JingHai
    Tianjin
    China 301600

    Tel.: +86 22 5952 7796
    Fax.: +86 22 5952 7798

    Email: Atotech.KOWL-COMM@atotech.com
    Local information

    China

    Shanghai

    • TechCenter Electronics
    • TechCenter General metal finishing

    Atotech (China) Chemicals Ltd.
    No. 5399 Plant A6, Wai Qing Song Road
    Qingpu District
    Shanghai
    China 201707

    Tel.: +86 21 6921 0608
    Fax.: +86 21 6921 0202

    Email: Atotech.KOWL-COMM@atotech.com
    Local information

    Wenzhou

    • Sales office

    Atotech (China) Chemicals Ltd.
    No. 7, Juxin Road
    Juguang, Industrial Park
    Wenzhou City
    China 325027

    Tel.: +86 577 889 388 70
    Fax.: +86 577 889 388 71

    Email: Atotech.KOWL-COMM@atotech.com
    Local information

    Further service points

    Laboratories in

    • Qingdao
    • Wuhan
    • Zhaoqing
    • Zhongshan
    • Xiamen
    • Ningbo

    China

    Guangzhou

    • TechCenter Electronics
    • TechCenter General metal finishing
    • Chemistry production
    • Equipment manufacturing

    Atotech (China) Chemicals Ltd.
    73, Xinzhuang 2-Lu
    Yonghe District, GETDD,
    Guangzhou
    China 511356

    Tel.: +86 20 8297 5160
    Fax.: +86 20 8297 5170

    Email: Atotech.KOWL-COMM@atotech.com
    Local information

    Chongqing

    • Sales office

    Atotech (China) Chemicals Ltd.
    Building 2, Zhongrun Industrial Park
    No. 252, Tonghe Road
    Tongliang Dist., Chongqing
    China 400039

    Tel.: +86 23 8519 1000
    Fax.: +86 23 8519 3000

    Email: Atotech.KOWL-COMM@atotech.com
    Local information

    Yangzhou

    • Chemistry production

    Atotech (Yangzhou) Chemicals Ltd.
    No.11, Hua Dian Road
    Yangzhou Chemical Industry Park
    Yizheng, Yangzhou, Jiangsu
    China 211400

    Tel.: (+86-514) 8397-4000
    Fax.: (+86-514) 8397-4029

    Colombia

    Bogotá

    Anders Colombia S.A.S.
    • Distributor Colombia

    Anders Colombia S.A.S.
    Calle 26 # 102-20 Oficina 303
    Edificio Buro 26
    110911 Bogotá
    Colombia

    Tel.: +57 1 7397598

    Email: jose.gomez@qanders.com

    Czech Republic

    Jablonec nad Nisou

    • Chemistry production
    • TechCenter General Metal Finishing
    • Sales office

    Atotech CZ, a.s.
    Belgicka 5119
    46605 Jablonec nad Nisou
    Czech Republic

    Tel.: +420 483 570 000

    Email: jablonec@atotech.com

    Ecuador

    Quito

    Anders Ecuador Cia. Ltda.
    • Distributor Ecuador

    Anders Ecuador Cia. Ltda.
    Calle N 68A De Los Aceitunos s/n.
    170307 Quito
    Ecuador

    Tel.: +593 2 247 84 85
    Fax: +593 2 247 86 49

    Email: jose.gomez@qanders.com

    Egypt

    Cairo

    Al Hoda Chemicals
    • Distributor Egypt

    Al Hoda Chemicals
    13 El Fardous St.
    Extention of Ahmed Said St.
    Abbasseya
    Cairo

    Tel.: +2 0127 3474 447
    Tel.: +2 02 2685 5788

    Email: Sales@alhodachemicals.com

    France

    Saint Ouen l'Aumône

    • Sales office

    Atotech France
    29, Avenue de l'Eguillette
    ZA du Vert Galant
    95310 Saint Ouen l'Aumône
    France

    Tel.: +33 1 34 30 20 60

    Email: atotech.france@atotech.com
    Local information

     

    Germany

    Berlin

    Regional headquarters Europe
    • Research & development
    • TechCenter Electronics
    • TechCenter General metal finishing
    • Sales office

    Atotech Deutschland GmbH & Co. KG
    Erasmusstrasse 20
    10553 Berlin
    Germany

    Tel.: +49 30 349 85 0
    Fax.: +49 30 349 85 777

    Email: info@atotech.com
    Local information

    Trebur

    Corrosion Protection Competence Center
    • Chemistry production
    • Research & development
    • TechCenter General metal finishing

    Atotech Deutschland GmbH & Co. KG
    Untergasse 47
    65468 Trebur
    Germany

    Tel.: +49 61 4750 13 0
    Fax.: +49 61 4750 13 19/29

    Local information

    Germany

    Feucht

    Equipment
    • Manufacturing
    • Research & development
    • TechCenter
    • Sales office

    Atotech Deutschland GmbH & Co. KG
    Industriestrasse 69
    90537 Feucht
    Germany

    Tel.: +49 9128 725 0
    Fax.: +49 9128 725 424

    Email: atotech.feucht@atotech.com
    Local information

    Germany

    Neuruppin

    Production
    • Chemistry production

    Ahornallee 4
    Industriegebiet Temnitz Park
    16818 Werder/bei Neuruppin
    Germany

    Tel.: +49 33 920 611 16
    Fax.: +49 33 920 611 19

    Email: atotech.neuruppin@atotech.com
    Local information

     

    Greece

    Thessaloniki

    Hadjikypreos - Chemicals S.A.
    • Distributor Greece

    Hadjikypreos – Chemicals S.A.
    Electroplating Products & Equipment
    Industrial Area of Thessaloniki
    Block: 48B, Street: DA9
    Thessaloniki
    Sindos – GR 570 22

    Tel.: +30 2310 797 505
    Fax.: +30 2310 797 504

    Email: info@hadjikypreos.gr

    Hungary

    Budapest

    Branch office Hungary
    • Sales office

    Atotech Österreich GmbH
    Magyarországi Fióktelepe
    H-1143 Budapest
    Francia út 43.
    Hungary

    Tel.: +36 1 273 1484

    Email: atotech.at@atotech.com

    India

    Gurugram

    Atotech India Private Limited
    (CIN No: U74999HR1996PTC033492)

    • Sales office
    • Chemistry production

    Registered office:
    66 KM Stone, N.H. 8, Delhi Jaipur Highway,
    Village Sidhrawali, District Gurugram – 122413
    Haryana, India

    Tel.: +91 124 2679 620 / 621
    Fax.: +91 1274 267 189

    Email: atotech.hary-admin@atotech.com
    Local information

    Atotech Development Center Private Limited
    (CIN: U73100HR2015FTC057006)

    • Research & development
    • TechCenter Electronics
    • TechCenter General metal finishing

    Sales/corporate office:
    Plot No. 446 - G & H, Sector-8,
    IMT Manesar Gurugram-122050,
    Haryana, India

    Tel.: +91 124 6447900

    Pune

    • Sales office

    Atotech India Private Limited
    128/2, Sanghavi Complex, Telco Road
    Chinchwad, Pune, Maharashtra - 411019
    India

    Tel.: +91 20 274 416 01 / 02
    Fax: +91 20 274 416 03

    Ludhiana

    • Sales office

    Atotech India Private Limited
    First Floor, Zoom Building
    Property No. B-XXIII, 2581/1, R.K. Road
    Industrial area – A, Ludhiana
    Punjab - 141003
    India

    Tel.: +91 161 4640 192
    Fax.: +91 161 4640 192

    India

    Bengaluru

    • Customer support lab

    Atotech Development Center Private Limited
    74/B, West Phase
    Electronic city Phase 1
    Near Y SEC IT Software
    Bengaluru 560100
    Karnataka, India

    Tel.: +91 8110 419 000
    Fax.: +91 8110 419 020

    Email: atotech.bang-admin@atotech.com
    Local information

    Mumbai

    • Sales office

    Atotech India Private Limited
    UNIT No. 209, Krishna Commercial Center 6
    Udyog Nagar, Off S. V. Road, Goregaon West
    Mumbai, Maharashtra - 400062
    India

    Tel.: +91 22 2878 3400
    Fax.: +91 22 2878 8278

    Chennai

    • Sales office

    Atotech India Private Limited
    303, SIDCO AIEMA Tower, 1st Main Road
    Ambattur Industrial Estate
    Chennai - 600 058
    Tamil Nadu
    India

    Tel.: +91 44 4852 8963 / +91 96 000 71 757

    Indonesia

    Jakarta

    • Sales office

    PT. Atotech Indonesia Chemicals
    The Suite Tower level 7 – Union Space
    Jkt outer Ring Road No. 1, RW.2
    Kamal Muara, Kec. Penjaringan
    Jakarta Utara 14470
    Indonesia

    Tel.: +62-21 30420687
    Fax.: +62-21 30420688

    Email: Atotech.ATT-Singapore-CSE@atotech.com
    Local information

    Israel

    Akko

    Global Environment Solutions LTD
    • Distributor Israel

    Global Environment Solutions LTD
    Industrial Zone
    Po Box 2408
    24123 Akko
    Israel

    Tel.: +972 4 98 76 107
    Fax.: +972 4 98 76 133

    Email: RikaB@ges.co.il

    Italy

    Milan

    Main office
    • TechCenter General metal finishing
    • Sales office

    Atotech Italia S.r.l.
    Via Lecco, 6
    20045 Lainate (MI)
    Italy

    Tel.: +39 02 933 021
    Fax.: +39 02 933 021 99

    Email: atotech.italia@atotech.com
    Local information

    Italy

    Cluj-Napoca

    • Sales office

    Atotech Sucursala Cluj Napoca
    400117 Cluj
    Romania

    Phone: +40 736 639825

    Email: atotech.romania@atotech.com

    Japan

    Yokohama

    Regional headquarters FarEast
    • TechCenter Electronics
    • TechCenter General metal finishing

    Atotech Japan K.K.
    German Industry Park
    1-18-2 Hakusan
    Midori-ku, Yokohama
    Kanagawa 226-0006
    Japan

    Tel.: +81 45 937 6116
    Fax.: +81 45 937 6117

    Email: japan@atotech.com
    Local information

    Koda

    • Chemistry production

    Atotech Japan K.K.
    1-6 Shimo-Ohbasan
    Mutsuguri, Koda-cho
    Nukata-gun, Aichi 444-0122
    Japan

    Tel.: +81 564 62 14 15
    Fax.: +81 564 56 90 00

    Email: japan@atotech.com
    Local information

    Japan

    横浜

    アジア拠点
    • TechCenter Electronics
    • TechCenter General metal finishing

    アトテックジャパン株式会社
    226-0006
    神奈川県横浜市緑区白山1-18-2
    ジャーマンインダストリーパーク

    Tel.: +81 45 937 6116
    Fax.: +81 45 937 6117

    Email: japan@atotech.com
    Local information

    幸田町

    • 薬品製造工場

    アトテックジャパン株式会社
    444-0122
    愛知県額田郡幸田町六栗下大迫1-6

    Tel.: +81 564 62 14 15
    Fax.: +81 564 56 90 00

    Email: japan@atotech.com
    Local information

    Korea

    Jangan

    • TechCenter Electronics
    • TechCenter General metal finishing
    • Chemistry production

    Atotech Korea Ltd.
    37, Jangangongdan 1-gil, Jangan-myeon,
    Hwaseong-si, Gyeonggi-do, ZIP: 18579
    Korea

    Tel.: +82 31 359 3000
    Fax.: +82 31 351 8557

    Email: info-korea@atotech.com
    Local information

    Seoul

    • Sales office

    Atotech Korea Ltd.
    7F Dongsung building, 21 Gukhoe-Daero 62-Gil,
    Yeongdeungpo-Gu, Seoul, ZIP: 07236
    Korea

    Tel.: +82 2 550 7200
    Fax.: +82 2 561 0015

    Email: info-korea@atotech.com

    Busan

    • Sales office

    Atotech Korea Ltd.
    #403 Busan Cheongjeongdogeum Center,
    20 Noksansandan 382-ro 14gil,
    Gangseo-gu, Busan, ZIP: 46757
    Korea

    Tel.: +82 51 973 0510
    Fax.: +82 51 973 1579

    Email: info-korea@atotech.com

    Korea

    장안

    • TechCenter Electronics
    • TechCenter General metal finishing
    • Chemistry production

    Atotech Korea Ltd.
    경기도 화성시 장안면 장안공단 1길 37
    (zip: 18579)

    Tel.: +82 31 359 3000
    Fax.: +82 31 351 8557

    Email: info-korea@atotech.com
    Local information

    서울

    • Sales office

    Atotech Korea Ltd.
    서울시 영등포구 국회대로 62길 21
    동성빌딩 7층 (ZIP: 07236)

    Tel.: +82 2 550 7200
    Fax.: +82 2 561 0015

    Email: info-korea@atotech.com

    부산

    • Sales office

    Atotech Korea Ltd.
    부산시 강서구 녹산산단 382로 14번가길 20
    (송정동), 부산 청정도금센터 403호 (zip:46757)

    Tel.: +82 51 973 0510
    Fax.: +82 51 973 1579

    Email: info-korea@atotech.com

    Lithuania

    Vilnius

    • Sales office

    UAB Atotech-Chemeta
    Konstitucijos pr. 21A
    LT-08130 Vilnius
    Lietuva

    Tel.: +370 5 272 93 94
    Local information

    Generalinis direktorius

    Gražina Kontrimavičiūtė
    Tel.: + 370 5 272 93 94
    El.paštas: Grazina.Kontrimaviciute@atotech.com

    Lithuania

    Verslo plėtros direktorius

    Dr. Jaroslaw Rozwadowski
    Tel.: + 48 61 662 27 35
    El.paštas: Jaroslaw.Rozwadowski@atotech.com

    Pardavimų vadovas

    Juozas Daukšas
    Tel.: + 370 5 272 93 94
    El.paštas: Juozas.Daukshas@atotech.com

    Malaysia

    Penang

    • Chemistry production

    Atotech Malaysia Sdn. Bhd.
    1182, Lorong Perindustrian Bukit Minyak 22
    Taman Perindustrian Bukit Minyak
    14100 Simpang Ampat
    Pulau Pinang

    Malaysia

    Tel.: +60 4 506 9800
    Fax.: +60 4 506 2280

    Email: atotech.pnng-cs@atotech.com

    Malaysia

    Selangor

    • Sales office

    Atotech Malaysia Sdn. Bhd.
    Lot 6.03A, Level 6, 1 Tech Park
    Tanjung Bandar Utama
    Bandar Utama, 47800 Petaling Jaya
    Selangor Darul Ehsan

    Malaysia

    Tel.: +60 3 7732 3070

    Email: atotech.pnng-cs@atotech.com

    Mexico

    Querétaro

    • Chemistry production
    • Sales office

    Atotech de México S.A. de C.V.
    Carretera Estatal 100
    No. 4200 Lote 33/34, Interior 4-H/4-G
    Parque Industrial Aeropuerto San Ildefonso
    Colón, Querétaro

    México, C.P. 76295

    Tel.: +52 4422 9588 62

    Mexico

    Business Development Manager
    Norberto Pineda Salinas
    Email: norberto.pineda@atotech.com
    Tel. (cell): +52 1 55 8580 7816

    Sales Manager
    José Alberto Benedito Morant
    Email: alberto.benedito@atotech.com
    Tel. (cell): +52 1 55 2272 0507

    Peru

    Lima

    Anders Peru S.A.C.
    • Distributor Peru

    Anders Peru S.A.C.
    JR. PASEO DEL BOSQUE Nro. 500, Int. 301
    URB. CHACARILLA DEL ESTANQUE
    SAN BORJA, 15037 LIMA
    Peru

    Tel.: +51 1 615 86 00
    Fax.: +51 1 615 86 10

    Email: horst.eichhorn@qanders.com

    Philippines

    Muntinlupa City

    • Sales office

    Atotech (Philippines) Chemicals, Inc.
    401-402 B2 L7
    CTP Alpha Building
    Investment Drive
    Madrigal Business Park
    Alabang, Muntinlupa City
    Philippines, 1780

    Tel.: +63 2 834 0100
    Fax.: +63 2 833 2282

    Email: singapore-cse@atotech.com
    Local information

    Poland

    Poznań

    • Sales office

    Atotech Poland Sp.z o.o.
    Ul. Marcelińska 92/94
    60-324 Poznań
    Poland

    Tel.: +48 61 662 27 35
    Fax.: +48 61 662 19 02

    Email: atotech.pozn-office@atotech.com
    Local information

    Managing Director

    Jarosław Rozwadowski
    Email: jaroslaw.rozwadowski@atotech.com

    Poland

    Deputy Business Director, Business Manager GMF, Electronics

    Rafał Janowicz
    Tel.: +48 506 176 303
    Email: rafal.janowicz@atotech.com

    Przedstawiciel Techniczno-Handlowy GMF

    (Klasyczna galwanotechnika – Procesy antykorozyjne, cynk lamelarny, nikiel chemiczny, chrom techniczny)

    Magdalena Gortat
    PMM CRC/ZF
    Tel.: + 48 506 368 167
    Email: magdalena.gortat@atotech.com

    Przedstawiciel Techniczno-Handlowy GMF

    (Klasyczna galwanotechnika - Technologie dekoracyjne, pokrywanie plastiku)

    Jacek Chomiuk
    PMM DECO/POP
    Tel.: + 48 506 176 294
    Email: jacek.chomiuk@atotech.com

    Przedstawiciel Techniczno-Handlowy PST

    (Technologie wspierające procesy malowania- przygotowanie powierzchni, stripowanie farby)

    Tomasz Ilski
    Tel.: +48 512 368 712
    Email: tomasz.ilski@atotech.com

    Przedstawiciel Techniczno-Handlowy Electronika

    (PCB – Produkcja płytek obwodów drukowanych)

    Michał Zaczek
    Tel.: +48 61 662 27 35
    Email: michal.zaczek@atotech.com

    Portugal

    Aveiro

    • Sales office

    Atotech España S.A.U.
    Sucursal em Portugal
    Av. Europa, nº 473 C
    3800 – 228 Aveiro
    Portugal

    Tel.: +351 234 729 800

    Email: atotech.es@atotech.com
    Local information

    Spain

    Erandio

    Main office
    • Sales office

    Atotech España S.A.U.
    Sociedad Unipersonal
    Apartado 156 - 48950 Erandio
    Ribera de Axpe, 39
    48950 Erandio - Bizkaia
    España

    Tel.: +34 944 8030 55 / 00
    Fax.: +34 944 8030 21 / 12

    Email: atotech.es@atotech.com
    Local information

    Spain

    Barcelona

    Delegación Cataluña and Valencia
    • Sales office

    Atotech España S.A.U.
    Sociedad Unipersonal
    Parc Tecnològic del Vallès
    Ronda Can Fatjó, 8
    08290 Cerdanyola del Vallès (Barcelona)
    España

    Tel.: +34 93 680 11 77
    Fax.: +34 93 680 00 22

    Email: atotech.es@atotech.com
    Local information

    Portugal

    Aveiro

    Sociedad Unipersonal/Sucursal em Portugal
    • Sales office

    Atotech España S.A
    Sociedad Unipersonal
    Sucursal em Portugal
    Avda. Europa, Nr. 473 - C
    3800 - 228 Aveiro
    Portugal

    Tel.: +351 234 729 800

    Email: atotech.es@atotech.com
    Local information

    Romania

    Cluj Napoca

    Sucursala Italia
    • Sales office

    Calea Dorobantilor Nr. 14-16
    400117 - Cluj City Center, office 003
    Romania

    Tel.: +40 37106 4033
    Fax.: +40 37289 3814

    Email: atotech.romania@atotech.com

    Scandinavia

    Norrköping

    • Sales office

    Atotech Skandinavien AB
    Slottsgatan 33
    Box 5, SE-60102 Norrköping
    Sweden

    Tel.: +46 11 36 11 00
    Fax.: +46 11 10 01 62

    Email: sales.scan@atotech.com

    Singapore

    Singapore

    • FEC competence center
    • TechCenter Electronics
    • Sales office

    Atotech (Singapore) Chemicals Pte. Ltd.
    8 Buroh Street
    Unit #03-01
    Surface Engineering Hub
    Singapore 627563

    Tel.: +65 6862 26 18
    Fax.: +65 6862 15 06

    Email: singapore-cse@atotech.com
    Please send your job application to:
    Atotech.SPOR-HRD@atotech.com
    Local information

    Slovakia

    Banská Bystrica

    • Sales office

    Atotech SK, s.r.o.
    J. Chalupku 8
    974 01 Banská Bystrica
    Slovakia

    Tel.: +421 484 700 162 164
    Fax.: +421 484 700 161

    Email: bystrica@atotech.com

    Slovenija

    Podnart

    • Chemistry production

    Atotech Slovenija d.d.
    Podnart 43
    4244 Podnart
    Slovenija

    Tel.: +386 4 537 60 00

    Email: Atotech.PODN-INFO@atotech.com

    Informacija za javnost februar 2024

    Spain

    Erandio

    Main office
    • Sales office

    Atotech España S.A.U.
    Sociedad Unipersonal
    Apartado 156 - 48950 Erandio
    Ribera de Axpe, 39
    48950 Erandio - Bizkaia
    España

    Tel.: +34 944 8030 55 / 00
    Fax.: +34 944 8030 21 / 12

    Email: atotech.es@atotech.com
    Local information

    Spain

    Barcelona

    Delegación Cataluña and Valencia
    • Sales office

    Atotech España S.A.U.
    Sociedad Unipersonal
    Parc Tecnològic del Vallès
    Ronda Can Fatjó, 8
    08290 Cerdanyola del Vallès (Barcelona)
    España

    Tel.: +34 93 680 11 77
    Fax.: +34 93 680 00 22

    Email: atotech.es@atotech.com
    Local information

    Portugal

    Aveiro

    Sociedad Unipersonal/Sucursal em Portugal
    • Sales office

    Atotech España S.A
    Sociedad Unipersonal
    Sucursal em Portugal
    Avda. Europa, Nr. 473 - C
    3800 - 228 Aveiro
    Portugal

    Tel.: +351 234 729 800

    Email: atotech.es@atotech.com
    Local information

    Switzerland

    Courgenay

    • Sales office

    Atotech Deutschland GmbH & Co. KG
    Zweigniederlassung Courgenay
    Vertrieb Schweiz
    L'Armeratte 2
    CH - 2950 Courgenay
    Switzerland

    Tel.: +41 32 471 31 19
    Fax.: +41 32 566 13 29

    Email: info.schweiz@atotech.com

    Taiwan

    Taipei

    Main office
    • Sales office

    Atotech Taiwan Limited
    4F., No. 285
    Sec. 3 Nanjing E. Rd.
    Taipei 10550
    Taiwan

    Tel.: +886 2 2717 6868
    Fax.: +886 2 2713 2732

    Local information

    Taoyuan

    • Sales office

    Atotech Taiwan Limited
    5F., No. 15
    Jingguo Rd.
    Taoyuan City 33050
    Taiwan

    Tel.: +886 3 356 2468
    Fax.: +886 3 357 2585

    Local information

    Taiwan

    Kaohsiung

    • Customer support lab

    Atotech Taiwan Limited
    4F., No. 47, Dazhong 2nd Rd.
    Zuoying Dist., Kaohsiung City 813022
    Taiwan

    高雄市左營區大中二路47號4樓

    Tel.: +886 7 343 2876
    Fax.: +886 7 343 2898

    Local information

    Key Contacts / 主要聯絡人

    金屬表面處理 GMF
    李德芬 (Hannah Lee)
    Phone: +886 2 2717 6868 #303
    Email: Hannah.Lee@atotech.com

    電子事業部 EL
    李憶婷 (Bennia Lee)
    Phone: +886 3 356 2468 # 501
    Email: Bennia.Lee@atotech.com

    觀音研發中心 Guanyin ATC
    謝廷偉 (Casper Hsieh)
    Phone: +886 3 438 9788 # 601
    Email: Casper.Hsieh@atotech.com

    半導體 SC
    陳彥合 (Bobby Chen)
    Phone: +886 3 356 2468 # 950
    Email: Bobby.Chen@atotech.com

    高雄研發中心Kaohsiung ATC
    龔嫊婷 (Tina Kung)
    Phone: +886 7 343 2876 # 701
    Email: Tina.Kung@atotech.com

    環安衛HES
    郭宜慧 (Rosa Kuo)
    Phone: +886 3 438 9788 # 881
    Email: Rosa.Kuo@atotech.com

    人資行政HR
    陳雅莉 (Alice Chen)
    Phone: +886 2 2717 6868 # 113
    Email: Alice.Chen@atotech.com

    Taiwan

    Guanyin

    • TechCenter Electronics
    • Chemistry production

    Atotech Taiwan Limited
    11, Jingjian 2nd Rd.
    Guanyin Industrial Park
    Taoyuan City 32853
    Taiwan

    Tel.: +886 3 438 9788
    Fax.: +886 3 438 9792

    Local information

    Thailand

    Bangkok

    • Sales office

    Atotech (Thailand) Co., Ltd.
    11th Floor, 1 TP&T Tower
    Soi 19, Vibhavadee Rungsit Road
    Chatuchak, Chatuchak, Bangkok 10900
    Thailand

    Tel.: +66 293 618 73
    Fax.: +66 293 618 76

    Email: thomas.beck@atotech.com

    The Netherlands

    De Meern

    Main office

    Atotech B.V.
    Strijkviertel 35-2
    3454 PJ De Meern
    The Netherlands

    Tel.: +31 30 240 90 10

    Email: sales.nl@atotech.com

    The Netherlands

    Sofia

    Branch office Bulgaria

    Atotech B.V. (Nederland)
    Zk Drujba Bl. 223-B-46
    1582 Sofia
    Bulgaria

    Tel.: +359 886 816 816
    Fax.: +359 888 634 149

    Email: elitsapetrova@atotech-bg.com

    Turkey

    Istanbul

    • Sales office
    • Customer service lab

    Atotech İstanbul Kimya Sanayi Tic. Ltd. Şti.
    Barbaros Mah.
    Nesime Hanım Sok. No:4
    34746. Ataşehir - İstanbul
    Turkey

    Tel.: +90216 593 23 90
    Fax.: +90216 593 23 98

    Email: kansav.atila@atotech.com

    Turkey

    Izmir

    • Sales office
    • Customer service lab

    Atotech İstanbul Kimya Sanayi Tic. Ltd. Şti.
    Halkapınar Mah.
    1203/12 Sok. No: 2/1.
    35170. Konak - İzmir
    Turkey

    Tel.: +90 232 435 92 93
    Fax.: +90 232 435 95 99

    Email: kansav.atila@atotech.com

    Ukraine

    Kiev

    GALVANOMAYSTER Ltd.
    • Distributor Ukraine

    GALVANOMAYSTER Ltd.
    Mr. Volodymyr Baranovskyi
    Yevhen Sverstiuk Str. 11
    02660 Kiev
    Ukraine

    Tel.: +38 044 516 86 90
    Fax.: +38 044 517 16 13

    Email: v.baranovskyi@galvanomayster.kiev.ua

    United Kingdom

    West Bromwich

    • Sales office

    Atotech UK Ltd.
    William Street, West Bromwich
    West Midlands B70 0BG
    United Kingdom

    Tel.: +44 121 606 77 77
    Fax.: +44 121 606 72 00

    Email: sales.uk@atotech.com
    Local information

    UAE

    Ajman

    Al Taher Chemicals
    • Distributor U.A.E.

    Al Taher Chemicals Trading LLC
    Near Lucky R/A
    Industrial Area-2
    P.O. Box – 18912
    Ajman
    U.A.E.

    Tel.: +971 6 7482593

    Email: info@altaherchemicals.com

    USA

    Rock Hill

    Regional headquarters
    • Chemistry production
    • TechCenter Electronics
    • TechCenter General metal finishing

    Atotech USA, LLC
    1750 Overview Drive
    Rock Hill, SC 29730
    USA

    Tel.: +1 803 817 3500
    Fax.: +1 803 817 3602

    Email: usainfo@atotech.com
    Local information

    USA

    Detroit

    • Customer analytics laboratory
    • Warehouse

    Atotech USA, LLC
    35840 Beattie Drive,
    Sterling Heights, Michigan 48312
    USA

    Tel.: 1-800-PLATING

    Email: usainfo@atotech.com
    Local information

    Vietnam

    Ho Chi Minh City

    Main office
    • Sales office
    • Customer service lab

    Atotech Vietnam Co., Ltd.
    5F Hai Au Building
    39B Truong Son Street, Ward 4
    Tan Binh District
    Ho Chi Minh City
    Vietnam

    Tel.: +84 8 6296 1670
    Fax.: +84 8 6296 1675

    Vietnam

    Hanoi

    • Sales office
    • Customer service lab

    Atotech Vietnam Co., Ltd.
    Floor 2, VPI Building
    Trung Kinh Street, Yen Hoa Ward
    Cau Giay District
    Hanoi City
    Vietnam

    Tel.: +84 4 3768 7618
    Fax.: +84 4 3768 7619






      By entering your email address, you agree that we will answer your request via email.






        By entering your email address, you agree that we will answer your request via email.