Leading horizontal production equipment for

best performance and highest productivity

Our product portfolio

Our unique systems approach

Inline processing for printed circuit boards (HDI/MLB and Flex/Flex-Rigid Boards), package substrates, and semiconductor applications

Quick facts

  • Unique systems approach: Supplying chemistry, equipment, process know-how, service and spare parts
  • Leading sustainable solutions
  • Two production sites in Germany and China
  • Clean room production capabilities in Germany

Applications

  • Printed circuit boards (HDI, MLB, Flex / Flex rigid boards)
  • IC substrates
  • Semiconductor
  • Advanced packaging
  • Flat panel displays

Product portfolio

Permanganate desmear

 

Atotech versatile desmear equipment Uniplate® P allows high throughput rates, from multilayers to thicker boards and HDI boards or bare laminate boards for semi additive processes, giving the best results with almost all available base material (except chemical non-resistant substrates and materials containing acrylic adhesives). More than 450 Uniplate® P lines have been installed for high volume production of MLBs, HDIs and IC substrates for high-end applications such as mSAP/amSAP. It provides the leading transportation capability for thinnest PCB boards going down to 25µm + 2×2µm Cu clad.

Our Uniplate® P has a long successful tradition. Based on this Atotech has developed the next generation „New Uniplate“ design which is incorporating all the benefits and advantages of our traditional Uniplate® PLB platform. On top of this, the „New Uniplate“ features advances and benefits in reduced footprint, enhanced maintenance and user friendliness, energy consumption reductions, new pump technology and sustainable process control. Following the technology requirements, we improved the fine line capability by adding many sophisticated solutions for particle avoidance and particle reduction.

 

Equipment highlights:

  • High quality stainless steel sweller and permanganate modules ensure maximum process stability and low maintenance.
  • Integrated stainless steel filtration system for sweller and permanganate.
  • Designed to save resources – highly efficient chemical regeneration system for continuous production at stable process parameters (patented Oxamat® for permanganate regeneration) and sophisticated rinse concept.
  • High level of automation and process control combined with the smart use of production data ready for FAB 4.0.
  • Strong process performance with with patented flood bar technology.

 

 

The P-Line is Atotech new desmear equipment for printed circuit board manufacturers with high reliability and high performance demands in multilayer, rigid-flex and HDI panel processing. The new horizontal line is available in line speeds up to 3.5m/min with to different transportation systems for 24“ and 28,5“ wide panels. Polygon Line series features all production-critical characteristics and applies them to a highly reliable, high-volume, and cost-effective machine. The new horizontal line is available in line speeds up to 3.5m/min with to different transportation systems for 24“ and 28,5“ wide panels. ​

Equipment highlights:

  • Equipped with technology to reduce consumption of water and chemistry (e.g. patented Oxamat for permanganate regeneration, encapsulated modules, minimal bath volumes, multi-stage cascade rinses and condenser units).
  • Strong desmear performance with ultra sonic devices for sweller and permanganate.
  • The line is available with steam or hot water heating to use existing heat sources at customer site and save electrical energy consumption.
  • Strong process performance with patented flood bar technology.

Electroless copper process
 

The leading horizontal electroless copper plating line, the Uniplate® LB, is the world standard for classical horizontal through-hole metallization. Systems solutions are available for a huge variety of board thicknesses and sizes. More than 405 Uniplate® LB lines have been installed for high volume production of MLBs, HDIs and IC substrates for high-end applications such as mSAP/amSAP. It provides the leading transportation capability for thinnest PCB boards going down to 25µm + 2×2µm Cu clad.

Our Uniplate® LB has a long successful tradition. Based on this Atotech has developed the next generation „New Uniplate“ design which is incorporating all the benefits and advantages of our traditional Uniplate® PLB platform. On top of this, the „New Uniplate“ features advances and benefits in reduced footprint, enhanced maintenance and user friendliness, energy consumption reductions, new pump technology and sustainable process control. Following the technology requirements, we improved the fine line capability by adding many sophisticated solutions for particle avoidance and particle reduction.

 

Equipment highlights:

  • Superior through hole metallization with patented floodbar technology.
  • Highly efficient pump circuits for effective fluid control and efficient energy consumption.
  • High level of automation and process control combined with the smart use of production data ready for FAB 4.0.
  • Chemistry analyzing system for optimum performance at specific process steps.

 

 

The LB-Line is Atotech new electroless copper equipment for printed circuit board manufacturers with high reliability and high performance demands in multilayer, rigid-flex and HDI panel processing. The new horizontal line is available in line speeds up to 3.5m/min with to different transportation systems for 24“ and 28,5“ wide panels. The new horizontal line is available in line speeds up to 3.5m/min with to different transportation systems for 24“ and 28,5“ wide panels. ​

 

Equipment highlights:

  • State-of-the-art throwing power in THs and BMVs due to Atotech patented floodbar technology.
  • Automated cup dosing system enables a reliable and maintenance friendly solution.
  • The line is available with steam or hot water heating to use existing heat sources at customer site and save electrical energy consumption.
  • Semi automatic cleaning with best coverage and reliable cleaning performance.

Direct plating

 

Uniplate® NP is designed for the Neopact direct plating process and is suitable for all base materials including Teflon.

The Uniplate® CP is the horizontal conveyorized production system to go with Atotech Ecopact conductive polymer direct plating process for HDI, MLB and flex/ flex-rigid productions. This line is compatible with a wide range of base materials.

 

Equipment highlights:

  • Selective process, capable of BMV and direct pattern plating.
  • Superior through hole metallization with patented floodbar technology.
  • Highly efficient pump circuits for effective fluid control and efficient energy consumption.
  • High level of automation and process control combined with the smart use of production data ready for FAB 4.0.
  • Low space requirement.

 

 

 

Horizontal electrolytic copper process
 

More than 1050 Uniplate copper platers​ have been purchased from MKS Atotech since its release in 1987. Steadily improved from DC to InPulse1 to now Uniplate® Cu InPulse2 (Ip2), our horizontal plating equipment is the cutting edge technology for producing high-end mass products in various applications, such as through hole filling (THF), filling of blind micro vias, and conformal plating.

 

Equipment highlights:

  • Pulse rectifiers for even current distribution and Frequency controlled high current density guaranteeing improved surface quality and uniformity.
  • Insoluble anodes for improved geometry.
  • Inline filtration for particle reduction.
  • High level of automation and process control combined with the smart use of production data ready for FAB 4.0.

Vertical electrolytic copper process

 

Our new plating solution vPlate® provides customers with best results using advanced manufacturing technologies such as mSAP or SAP and can be applied to various PCB types, ranging from standard multilayer and HDI to advanced HDI, rigid-flex and IC substrates. Uniformities of ±10% can be realized leveraging our concept for insoluble segmented anodes with adjustable anode and cathode shielding. Through touch-free transportation of thin panels (down to 36µm + 2×2 Cu clad), we today fulfill all market requirements for vertical continuous copper plating.

 

Equipment highlights:

  • Advanced plating capabilities through insoluble and segmented anodes.
  • Best uniformity by adjustable anode and cathode shielding.
  • Thin panel transport capability down to down to 36µm + 2×2 Cu clad.
  • Flexibility regarding the line layout – adjustable to customer’s space availability and technical specifications.
  • Operator-friendly due to full automatic handling, incl. automatic copper & chemistry replenishment.
  • Utmost energy and water efficiency by using specialized motors and latest cascade rinsing technology.

Surface treatment / Innerlayer bonding

 

The Atotech Horizon® Bondfilm ® family is an integrated production solution for bonding enhancement and surface treatment. It consist of Horizon® Bondfilm ® – our intelligent and cost effective solution for improved inner layer bonding and Horizon® Bondfilm ®LDD – a unique process to improve CO2 laser absorption of surfaces prior to laser direct drilling applications at maximum reliability.
It offers Atotech latest technology package in chemical processing, thin material conveyance and advanced fluid delivery.

 

Equipment highlights:

  • Automatic discharging devices.
  • Advanced cascade-rinsing technology with highly efficient and optimized pump circuits.
  • Fully automated panel tracking control.
  • High level of automation and process control combined with the smart use of production data ready for FAB 4.0.
  • Available with analyzer monitoring technology and fully automatic control of dosing system.
  • Strong process performance with patented flood bar technology.

 

 

 

 

Final finishing
 

The Stannatech® state-of-the-art tin deposition technology sets the world standard in thinnest tin deposition on printed circuit boards, making it one of the few surface finishing systems verified by all major automobile manufacturers. With our unique Crystallizer™ and ConStannic™ control, the system is perfect for immersion tin for multiple Pb-free soldering and press-fit technology. Stannatech® achieves the highest mileages and process reliability in the market. With more than 130 installed Horizon Stannatech lines we have build up a successful reference base.​

 

Equipment highlights:

  • High level of automation and process control combined with the smart use of production data ready for FAB 4.0.
  • ConStannic™ and Crystallizer™ auxiliary equipment result in extended life time and efficiency of the applied chemistry – no feed and bleed process
  • The line is available with hot water heating system.
  • Leading transportation system enable parallel transportation of smaller panel pieces.
  • Strong process performance with patented flood bar technology.

 

 

 

Electrolytic plating for the semiconductor and advanced packaging industry

 

MultiPlate® is an innovative ECD plating system designed to tackle the current and future challenges for optimal performance in advanced packaging applications.
Its key technology is the simultaneous front and backside plating capability with optional individual process control for each substrate side, including current density, fluid flow, and pulse plating parameter enabling superior surface distribution at high plating speed.
For advanced packaging applications MultiPlate® is available for round and square substrates for wafer and panel-level packaging applications.

Read more

 

 

 

Electroless metallization for displays

 

With decades of experience in horizontal wet-chemical electroless copper systems, MKS Atotech has developed the new high-speed electroless copper system consisting of CupraTech® FPD process and VisioPlate®. It is tailored to the requirements of the next generation products of the flat panel display industry.

 

The VisioPlate® tool features:

  • Reliable transport of large and thin glass substrates up to gen8 size.
  • Adjustable line speed for an electroless copper layer of up to 2µm.
  • Special features for particle prevention.

 

Service and spare parts

Matchless customer satisfaction along every step of our equipment and chemical solutions lifecycle is one of Atotech primary goals, from initial interest in technology and products up to installation and service to guarantee high performing products and manufacturing processes. Therefore, quality and reliability of every component of the final product are crucial to fulfil our promise – to deliver leading technology and high-performing systems solutions that last.

With over 1,200 production lines running globally today, we have brought our spare part and service offering to perfection. Today, we offer original parts for every crucial component of our equipment product lines, for Uniplate®, Horizon®, MultiPlate®, VisioPlate®, as well as for our latest portfolio additions Polygon® and vPlate®.


Customer benefits:

  • Atotech original spare parts meet the highest quality and reliability requirements for best process performance.
  • Ensure maximum equipment availability.
  • Systems offering consisting of equipment, process chemicals and service (installation, production support, inspection and spare parts).
  • Competitive cost of ownership.
  • Extended lifetime of your Atotech equipment.
  • Global availability of Atotech original spare parts.
  • Globally available service teams to support on-site installations.
  • Excellent trained and experienced service team.

Uniplate® PLB

Our new innovative plating tool

Uniplate® PLB systems solution is designed for advanced substrates, minimized consumption of energy, water and chemistry and is optimized for a high level of automation, repetitive high-quality production results, as well as the next phase of industrial internet of things (IIOT) and Smart Factory production.

High-purity chemistry manufacturing

Transportation technology

Universal transport system (UTS) – For ultra flex material processing (UTS-xs)

Atotech universal transport system is designed to enable our desmear, PTH and copper plating equipment to process a broad range of different panel thicknesses. The universal transport system consists of UTS-XL, UTS-s, UTS-xs and the new UTS-xs+. It is the latest addition to the UTS that opens new possibilities for safe thin material transportation in our Uniplate® P and LB lines.

Read more

Contact Us






    By entering your email address, you agree that we will answer your request via email.

    Output

    Argentina

    Buenos Aires

    • Sales office

    Atotech Argentina S.A.
    Paraná 4574
    (1605) Buenos Aires
    Argentina

    Tel.: +54 11 4756 71 67

    Email: francisco.llerena@atotech.com

    Australia

    Melbourne

    IMCD Australia Pty Ltd
    • Distributor Australia and New Zealand

    Level 1, 352 Wellington Road
    Mulgrave, VIC, 3170
    Australia

    Phone: +61 3 8544 3125
    Fax: +61 3 8544 3299

    Your contact:

    Rekha Kalian
    rekha.kalian@imcd.com.au
    Mobile: +61 426 255 118

    Austria

    Purkersdorf

    Main office
    • Sales office

    Atotech Österreich GmbH
    Linzer Straße 63
    3002 Purkersdorf
    Austria

    Tel.: +43 223 168 24 00

    Email: atotech.at@atotech.com
    Local information

    Belarus

    Minsk

    • Software and factory automation

    Visutech Plating, An Atotech Group Company
    38 Nemiga St.
    220004 Minsk
    Belarus

    Tel.: +375 (17) 348 34 23
    Fax: +375 17 270 29 72

    Email: info@visutechplating.by

    Bolivia

    Santa Cruz

    Anders Bolivia Ltda.
    • Distributor Bolivia

    Anders Bolivia Ltda.
    Av. Udalrico Zambrana 10,
    4to Anillo y Radial 17 1/2
    Zona los Bosques
    Santa Cruz
    Bolivia

    Tel.: +591 3 355 24 34
    Fax: +591 3 357 85 35

    Email: katia.justiniano@qanders.com

    Brazil

    Sao Paulo

    • Chemistry production
    • TechCenter Electronics
    • TechCenter General metal finishing
    • Sales office

    Galvanotécnica Ltda.
    Rua Maria Patricia da Silva, 205
    Taboão da Serra-SP
    CEP 06787-480
    Brasil

    Tel.: +55 11 4138 99 00
    Fax.: +55 11 4138 99 09

    Email: vendas.tabo@atotech.com

    Bulgaria

    Sofia

    Branch office Bulgaria
    • Sales office

    Atotech Bulgaria EOOD
    Sofia Nadejda BL. 329 vk. A ap. 8
    1220 Sofia
    Bulgaria

    Tel.: +359 886 816 816

    Email: elitsa.petrova@atotech.com

    Chile

    Santiago de Chile

    Anders Chile SpA
    • Distributor Chile

    Anders Chile SpA
    Américo Vespucio 1385 P.E. Spacioflex Edificio A Módulo 9
    Comuna de Quilicura
    8730596 Santiago de Chile
    Chile

    Tel.: +56 2 2948 8100

    Email: juan.arango@qanders.com

    China

    Hong Kong

    Main office
    • Sales office

    Atotech Asia Pacific Ltd.
    Unit 906-909, 9/F, Mira Place Tower A
    132 Nathan Road, Tsim Sha Tsui
    Kowloon
    Hong Kong

    Tel.: +852 272 201 08
    Fax.: +852 272 135 40

    Email: Atotech.KOWL-COMM@atotech.com
    Local information

    Nanjing

    • Sales office

    Atotech (China) Chemicals Ltd.
    4/F., Donglai Business Center
    No. 30, Longpan ZhongLu
    Nanjing
    China 210016

    Tel.: +86 25 8480 1788
    Fax.: +86 25 8482 0708

    Email: Atotech.KOWL-COMM@atotech.com
    Local information

    Tianjin

    • Sales office

    Atotech (China) Chemicals Ltd.
    No. 2, Dong FangHong Road
    Economic Development Zone of JingHai
    Tianjin
    China 301600

    Tel.: +86 22 5952 7796
    Fax.: +86 22 5952 7798

    Email: Atotech.KOWL-COMM@atotech.com
    Local information

    China

    Shanghai

    • TechCenter Electronics
    • TechCenter General metal finishing

    Atotech (China) Chemicals Ltd.
    No. 5399 Plant A6, Wai Qing Song Road
    Qingpu District
    Shanghai
    China 201707

    Tel.: +86 21 6921 0608
    Fax.: +86 21 6921 0202

    Email: Atotech.KOWL-COMM@atotech.com
    Local information

    Wenzhou

    • Sales office

    Atotech (China) Chemicals Ltd.
    No. 7, Juxin Road
    Juguang, Industrial Park
    Wenzhou City
    China 325027

    Tel.: +86 577 889 388 70
    Fax.: +86 577 889 388 71

    Email: Atotech.KOWL-COMM@atotech.com
    Local information

    Further service points

    Laboratories in

    • Qingdao
    • Wuhan
    • Zhaoqing
    • Zhongshan
    • Xiamen
    • Ningbo

    China

    Guangzhou

    • TechCenter Electronics
    • TechCenter General metal finishing
    • Chemistry production
    • Equipment manufacturing

    Atotech (China) Chemicals Ltd.
    73, Xinzhuang 2-Lu
    Yonghe District, GETDD,
    Guangzhou
    China 511356

    Tel.: +86 20 8297 5160
    Fax.: +86 20 8297 5170

    Email: Atotech.KOWL-COMM@atotech.com
    Local information

    Chongqing

    • Sales office

    Atotech (China) Chemicals Ltd.
    Building 2, Zhongrun Industrial Park
    No. 252, Tonghe Road
    Tongliang Dist., Chongqing
    China 400039

    Tel.: +86 23 8519 1000
    Fax.: +86 23 8519 3000

    Email: Atotech.KOWL-COMM@atotech.com
    Local information

    Yangzhou

    • Chemistry production

    Atotech (Yangzhou) Chemicals Ltd.
    No.11, Hua Dian Road
    Yangzhou Chemical Industry Park
    Yizheng, Yangzhou, Jiangsu
    China 211400

    Tel.: (+86-514) 8397-4000
    Fax.: (+86-514) 8397-4029

    Colombia

    Bogotá

    Anders Colombia S.A.S.
    • Distributor Colombia

    Anders Colombia S.A.S.
    Calle 26 # 102-20 Oficina 303
    Edificio Buro 26
    110911 Bogotá
    Colombia

    Tel.: +57 1 7397598

    Email: jose.gomez@qanders.com

    Czech Republic

    Jablonec nad Nisou

    • Chemistry production
    • TechCenter General Metal Finishing
    • Sales office

    Atotech CZ, a.s.
    Belgicka 5119
    46605 Jablonec nad Nisou
    Czech Republic

    Tel.: +420 483 570 000

    Email: jablonec@atotech.com

    Ecuador

    Quito

    Anders Ecuador Cia. Ltda.
    • Distributor Ecuador

    Anders Ecuador Cia. Ltda.
    Calle N 68A De Los Aceitunos s/n.
    170307 Quito
    Ecuador

    Tel.: +593 2 247 84 85
    Fax: +593 2 247 86 49

    Email: jose.gomez@qanders.com

    Egypt

    Cairo

    Al Hoda Chemicals
    • Distributor Egypt

    Al Hoda Chemicals
    13 El Fardous St.
    Extention of Ahmed Said St.
    Abbasseya
    Cairo

    Tel.: +2 0127 3474 447
    Tel.: +2 02 2685 5788

    Email: Sales@alhodachemicals.com

    France

    Saint Ouen l'Aumône

    • Sales office

    Atotech France
    29, Avenue de l'Eguillette
    ZA du Vert Galant
    95310 Saint Ouen l'Aumône
    France

    Tel.: +33 1 34 30 20 60

    Email: atotech.france@atotech.com
    Local information

     

    Germany

    Berlin

    Regional headquarters Europe
    • Research & development
    • TechCenter Electronics
    • TechCenter General metal finishing
    • Sales office

    Atotech Deutschland GmbH & Co. KG
    Erasmusstrasse 20
    10553 Berlin
    Germany

    Tel.: +49 30 349 85 0
    Fax.: +49 30 349 85 777

    Email: info@atotech.com
    Local information

    Trebur

    Corrosion Protection Competence Center
    • Chemistry production
    • Research & development
    • TechCenter General metal finishing

    Atotech Deutschland GmbH & Co. KG
    Untergasse 47
    65468 Trebur
    Germany

    Tel.: +49 61 4750 13 0
    Fax.: +49 61 4750 13 19/29

    Local information

    Germany

    Feucht

    Equipment
    • Manufacturing
    • Research & development
    • TechCenter
    • Sales office

    Atotech Deutschland GmbH & Co. KG
    Industriestrasse 69
    90537 Feucht
    Germany

    Tel.: +49 9128 725 0
    Fax.: +49 9128 725 424

    Email: atotech.feucht@atotech.com
    Local information

    Germany

    Neuruppin

    Production
    • Chemistry production

    Ahornallee 4
    Industriegebiet Temnitz Park
    16818 Werder/bei Neuruppin
    Germany

    Tel.: +49 33 920 611 16
    Fax.: +49 33 920 611 19

    Email: atotech.neuruppin@atotech.com
    Local information

     

    Greece

    Thessaloniki

    Hadjikypreos - Chemicals S.A.
    • Distributor Greece

    Hadjikypreos – Chemicals S.A.
    Electroplating Products & Equipment
    Industrial Area of Thessaloniki
    Block: 48B, Street: DA9
    Thessaloniki
    Sindos – GR 570 22

    Tel.: +30 2310 797 505
    Fax.: +30 2310 797 504

    Email: info@hadjikypreos.gr

    Hungary

    Budapest

    Branch office Hungary
    • Sales office

    Atotech Österreich GmbH
    Magyarországi Fióktelepe
    H-1143 Budapest
    Francia út 43.
    Hungary

    Tel.: +36 1 273 1484

    Email: atotech.at@atotech.com

    India

    Gurugram

    Atotech India Private Limited
    (CIN No: U74999HR1996PTC033492)

    • Sales office
    • Chemistry production

    Registered office:
    66 KM Stone, N.H. 8, Delhi Jaipur Highway,
    Village Sidhrawali, District Gurugram – 122413
    Haryana, India

    Tel.: +91 124 2679 620 / 621
    Fax.: +91 1274 267 189

    Email: atotech.hary-admin@atotech.com
    Local information

    Atotech Development Center Private Limited
    (CIN: U73100HR2015FTC057006)

    • Research & development
    • TechCenter Electronics
    • TechCenter General metal finishing

    Sales/corporate office:
    Plot No. 446 - G & H, Sector-8,
    IMT Manesar Gurugram-122050,
    Haryana, India

    Tel.: +91 124 6447900

    Pune

    • Sales office

    Atotech India Private Limited
    128/2, Sanghavi Complex, Telco Road
    Chinchwad, Pune, Maharashtra - 411019
    India

    Tel.: +91 20 274 416 01 / 02
    Fax: +91 20 274 416 03

    Ludhiana

    • Sales office

    Atotech India Private Limited
    First Floor, Zoom Building
    Property No. B-XXIII, 2581/1, R.K. Road
    Industrial area – A, Ludhiana
    Punjab - 141003
    India

    Tel.: +91 161 4640 192
    Fax.: +91 161 4640 192

    India

    Bengaluru

    • Customer support lab

    Atotech Development Center Private Limited
    74/B, West Phase
    Electronic city Phase 1
    Near Y SEC IT Software
    Bengaluru 560100
    Karnataka, India

    Tel.: +91 8110 419 000
    Fax.: +91 8110 419 020

    Email: atotech.bang-admin@atotech.com
    Local information

    Mumbai

    • Sales office

    Atotech India Private Limited
    UNIT No. 209, Krishna Commercial Center 6
    Udyog Nagar, Off S. V. Road, Goregaon West
    Mumbai, Maharashtra - 400062
    India

    Tel.: +91 22 2878 3400
    Fax.: +91 22 2878 8278

    Chennai

    • Sales office

    Atotech India Private Limited
    303, SIDCO AIEMA Tower, 1st Main Road
    Ambattur Industrial Estate
    Chennai - 600 058
    Tamil Nadu
    India

    Tel.: +91 44 4852 8963 / +91 96 000 71 757

    Indonesia

    Jakarta

    • Sales office

    PT. Atotech Indonesia Chemicals
    The Suite Tower level 7 – Union Space
    Jkt outer Ring Road No. 1, RW.2
    Kamal Muara, Kec. Penjaringan
    Jakarta Utara 14470
    Indonesia

    Tel.: +62-21 30420687
    Fax.: +62-21 30420688

    Email: Atotech.ATT-Singapore-CSE@atotech.com
    Local information

    Israel

    Akko

    Global Environment Solutions LTD
    • Distributor Israel

    Global Environment Solutions LTD
    Industrial Zone
    Po Box 2408
    24123 Akko
    Israel

    Tel.: +972 4 98 76 107
    Fax.: +972 4 98 76 133

    Email: RikaB@ges.co.il

    Italy

    Milan

    Main office
    • TechCenter General metal finishing
    • Sales office

    Atotech Italia S.r.l.
    Via Lecco, 6
    20045 Lainate (MI)
    Italy

    Tel.: +39 02 933 021
    Fax.: +39 02 933 021 99

    Email: atotech.italia@atotech.com
    Local information

    Italy

    Cluj-Napoca

    • Sales office

    Atotech Sucursala Cluj Napoca
    400117 Cluj
    Romania

    Phone: +40 736 639825

    Email: atotech.romania@atotech.com

    Japan

    Yokohama

    Regional headquarters FarEast
    • TechCenter Electronics
    • TechCenter General metal finishing

    Atotech Japan K.K.
    German Industry Park
    1-18-2 Hakusan
    Midori-ku, Yokohama
    Kanagawa 226-0006
    Japan

    Tel.: +81 45 937 6116
    Fax.: +81 45 937 6117

    Email: japan@atotech.com
    Local information

    Koda

    • Chemistry production

    Atotech Japan K.K.
    1-6 Shimo-Ohbasan
    Mutsuguri, Koda-cho
    Nukata-gun, Aichi 444-0122
    Japan

    Tel.: +81 564 62 14 15
    Fax.: +81 564 56 90 00

    Email: japan@atotech.com
    Local information

    Japan

    横浜

    アジア拠点
    • TechCenter Electronics
    • TechCenter General metal finishing

    アトテックジャパン株式会社
    226-0006
    神奈川県横浜市緑区白山1-18-2
    ジャーマンインダストリーパーク

    Tel.: +81 45 937 6116
    Fax.: +81 45 937 6117

    Email: japan@atotech.com
    Local information

    幸田町

    • 薬品製造工場

    アトテックジャパン株式会社
    444-0122
    愛知県額田郡幸田町六栗下大迫1-6

    Tel.: +81 564 62 14 15
    Fax.: +81 564 56 90 00

    Email: japan@atotech.com
    Local information

    Korea

    Jangan

    • TechCenter Electronics
    • TechCenter General metal finishing
    • Chemistry production

    Atotech Korea Ltd.
    37, Jangangongdan 1-gil, Jangan-myeon,
    Hwaseong-si, Gyeonggi-do, ZIP: 18579
    Korea

    Tel.: +82 31 359 3000
    Fax.: +82 31 351 8557

    Email: info-korea@atotech.com
    Local information

    Seoul

    • Sales office

    Atotech Korea Ltd.
    7F Dongsung building, 21 Gukhoe-Daero 62-Gil,
    Yeongdeungpo-Gu, Seoul, ZIP: 07236
    Korea

    Tel.: +82 2 550 7200
    Fax.: +82 2 561 0015

    Email: info-korea@atotech.com

    Busan

    • Sales office

    Atotech Korea Ltd.
    #403 Busan Cheongjeongdogeum Center,
    20 Noksansandan 382-ro 14gil,
    Gangseo-gu, Busan, ZIP: 46757
    Korea

    Tel.: +82 51 973 0510
    Fax.: +82 51 973 1579

    Email: info-korea@atotech.com

    Korea

    장안

    • TechCenter Electronics
    • TechCenter General metal finishing
    • Chemistry production

    Atotech Korea Ltd.
    경기도 화성시 장안면 장안공단 1길 37
    (zip: 18579)

    Tel.: +82 31 359 3000
    Fax.: +82 31 351 8557

    Email: info-korea@atotech.com
    Local information

    서울

    • Sales office

    Atotech Korea Ltd.
    서울시 영등포구 국회대로 62길 21
    동성빌딩 7층 (ZIP: 07236)

    Tel.: +82 2 550 7200
    Fax.: +82 2 561 0015

    Email: info-korea@atotech.com

    부산

    • Sales office

    Atotech Korea Ltd.
    부산시 강서구 녹산산단 382로 14번가길 20
    (송정동), 부산 청정도금센터 403호 (zip:46757)

    Tel.: +82 51 973 0510
    Fax.: +82 51 973 1579

    Email: info-korea@atotech.com

    Lithuania

    Vilnius

    • Sales office

    UAB Atotech-Chemeta
    Konstitucijos pr. 21A
    LT-08130 Vilnius
    Lietuva

    Tel.: +370 5 272 93 94
    Local information

    Generalinis direktorius

    Gražina Kontrimavičiūtė
    Tel.: + 370 5 272 93 94
    El.paštas: Grazina.Kontrimaviciute@atotech.com

    Lithuania

    Verslo plėtros direktorius

    Dr. Jaroslaw Rozwadowski
    Tel.: + 48 61 662 27 35
    El.paštas: Jaroslaw.Rozwadowski@atotech.com

    Pardavimų vadovas

    Juozas Daukšas
    Tel.: + 370 5 272 93 94
    El.paštas: Juozas.Daukshas@atotech.com

    Malaysia

    Penang

    • Chemistry production

    Atotech Malaysia Sdn. Bhd.
    1182, Lorong Perindustrian Bukit Minyak 22
    Taman Perindustrian Bukit Minyak
    14100 Simpang Ampat
    Pulau Pinang

    Malaysia

    Tel.: +60 4 506 9800
    Fax.: +60 4 506 2280

    Email: atotech.pnng-cs@atotech.com

    Malaysia

    Selangor

    • Sales office

    Atotech Malaysia Sdn. Bhd.
    Lot 6.03A, Level 6, 1 Tech Park
    Tanjung Bandar Utama
    Bandar Utama, 47800 Petaling Jaya
    Selangor Darul Ehsan

    Malaysia

    Tel.: +60 3 7732 3070

    Email: atotech.pnng-cs@atotech.com

    Mexico

    Querétaro

    • Chemistry production
    • Sales office

    Atotech de México S.A. de C.V.
    Carretera Estatal 100
    No. 4200 Lote 33/34, Interior 4-H/4-G
    Parque Industrial Aeropuerto San Ildefonso
    Colón, Querétaro

    México, C.P. 76295

    Tel.: +52 4422 9588 62

    Mexico

    Business Development Manager
    Norberto Pineda Salinas
    Email: norberto.pineda@atotech.com
    Tel. (cell): +52 1 55 8580 7816

    Sales Manager
    José Alberto Benedito Morant
    Email: alberto.benedito@atotech.com
    Tel. (cell): +52 1 55 2272 0507

    Peru

    Lima

    Anders Peru S.A.C.
    • Distributor Peru

    Anders Peru S.A.C.
    JR. PASEO DEL BOSQUE Nro. 500, Int. 301
    URB. CHACARILLA DEL ESTANQUE
    SAN BORJA, 15037 LIMA
    Peru

    Tel.: +51 1 615 86 00
    Fax.: +51 1 615 86 10

    Email: horst.eichhorn@qanders.com

    Philippines

    Muntinlupa City

    • Sales office

    Atotech (Philippines) Chemicals, Inc.
    401-402 B2 L7
    CTP Alpha Building
    Investment Drive
    Madrigal Business Park
    Alabang, Muntinlupa City
    Philippines, 1780

    Tel.: +63 2 834 0100
    Fax.: +63 2 833 2282

    Email: singapore-cse@atotech.com
    Local information

    Poland

    Poznań

    • Sales office

    Atotech Poland Sp.z o.o.
    Ul. Marcelińska 92/94
    60-324 Poznań
    Poland

    Tel.: +48 61 662 27 35
    Fax.: +48 61 662 19 02

    Email: atotech.pozn-office@atotech.com
    Local information

    Managing Director

    Jarosław Rozwadowski
    Email: jaroslaw.rozwadowski@atotech.com

    Poland

    Deputy Business Director, Business Manager GMF, Electronics

    Rafał Janowicz
    Tel.: +48 506 176 303
    Email: rafal.janowicz@atotech.com

    Przedstawiciel Techniczno-Handlowy GMF

    (Klasyczna galwanotechnika – Procesy antykorozyjne, cynk lamelarny, nikiel chemiczny, chrom techniczny)

    Magdalena Gortat
    PMM CRC/ZF
    Tel.: + 48 506 368 167
    Email: magdalena.gortat@atotech.com

    Przedstawiciel Techniczno-Handlowy GMF

    (Klasyczna galwanotechnika - Technologie dekoracyjne, pokrywanie plastiku)

    Jacek Chomiuk
    PMM DECO/POP
    Tel.: + 48 506 176 294
    Email: jacek.chomiuk@atotech.com

    Przedstawiciel Techniczno-Handlowy PST

    (Technologie wspierające procesy malowania- przygotowanie powierzchni, stripowanie farby)

    Tomasz Ilski
    Tel.: +48 512 368 712
    Email: tomasz.ilski@atotech.com

    Przedstawiciel Techniczno-Handlowy Electronika

    (PCB – Produkcja płytek obwodów drukowanych)

    Michał Zaczek
    Tel.: +48 61 662 27 35
    Email: michal.zaczek@atotech.com

    Portugal

    Aveiro

    • Sales office

    Atotech España S.A.U.
    Sucursal em Portugal
    Av. Europa, nº 473 C
    3800 – 228 Aveiro
    Portugal

    Tel.: +351 234 729 800

    Email: atotech.es@atotech.com
    Local information

    Spain

    Erandio

    Main office
    • Sales office

    Atotech España S.A.U.
    Sociedad Unipersonal
    Apartado 156 - 48950 Erandio
    Ribera de Axpe, 39
    48950 Erandio - Bizkaia
    España

    Tel.: +34 944 8030 55 / 00
    Fax.: +34 944 8030 21 / 12

    Email: atotech.es@atotech.com
    Local information

    Spain

    Barcelona

    Delegación Cataluña and Valencia
    • Sales office

    Atotech España S.A.U.
    Sociedad Unipersonal
    Parc Tecnològic del Vallès
    Ronda Can Fatjó, 8
    08290 Cerdanyola del Vallès (Barcelona)
    España

    Tel.: +34 93 680 11 77
    Fax.: +34 93 680 00 22

    Email: atotech.es@atotech.com
    Local information

    Portugal

    Aveiro

    Sociedad Unipersonal/Sucursal em Portugal
    • Sales office

    Atotech España S.A
    Sociedad Unipersonal
    Sucursal em Portugal
    Avda. Europa, Nr. 473 - C
    3800 - 228 Aveiro
    Portugal

    Tel.: +351 234 729 800

    Email: atotech.es@atotech.com
    Local information

    Romania

    Cluj Napoca

    Sucursala Italia
    • Sales office

    Calea Dorobantilor Nr. 14-16
    400117 - Cluj City Center, office 003
    Romania

    Tel.: +40 37106 4033
    Fax.: +40 37289 3814

    Email: atotech.romania@atotech.com

    Scandinavia

    Norrköping

    • Sales office

    Atotech Skandinavien AB
    Slottsgatan 33
    Box 5, SE-60102 Norrköping
    Sweden

    Tel.: +46 11 36 11 00
    Fax.: +46 11 10 01 62

    Email: sales.scan@atotech.com

    Singapore

    Singapore

    • FEC competence center
    • TechCenter Electronics
    • Sales office

    Atotech (Singapore) Chemicals Pte. Ltd.
    8 Buroh Street
    Unit #03-01
    Surface Engineering Hub
    Singapore 627563

    Tel.: +65 6862 26 18
    Fax.: +65 6862 15 06

    Email: singapore-cse@atotech.com
    Please send your job application to:
    Atotech.SPOR-HRD@atotech.com
    Local information

    Slovakia

    Banská Bystrica

    • Sales office

    Atotech SK, s.r.o.
    J. Chalupku 8
    974 01 Banská Bystrica
    Slovakia

    Tel.: +421 484 700 162 164
    Fax.: +421 484 700 161

    Email: bystrica@atotech.com

    Slovenija

    Podnart

    • Chemistry production

    Atotech Slovenija d.d.
    Podnart 43
    4244 Podnart
    Slovenija

    Tel.: +386 4 537 60 00

    Email: Atotech.PODN-INFO@atotech.com

    Informacija za javnost februar 2024

    Spain

    Erandio

    Main office
    • Sales office

    Atotech España S.A.U.
    Sociedad Unipersonal
    Apartado 156 - 48950 Erandio
    Ribera de Axpe, 39
    48950 Erandio - Bizkaia
    España

    Tel.: +34 944 8030 55 / 00
    Fax.: +34 944 8030 21 / 12

    Email: atotech.es@atotech.com
    Local information

    Spain

    Barcelona

    Delegación Cataluña and Valencia
    • Sales office

    Atotech España S.A.U.
    Sociedad Unipersonal
    Parc Tecnològic del Vallès
    Ronda Can Fatjó, 8
    08290 Cerdanyola del Vallès (Barcelona)
    España

    Tel.: +34 93 680 11 77
    Fax.: +34 93 680 00 22

    Email: atotech.es@atotech.com
    Local information

    Portugal

    Aveiro

    Sociedad Unipersonal/Sucursal em Portugal
    • Sales office

    Atotech España S.A
    Sociedad Unipersonal
    Sucursal em Portugal
    Avda. Europa, Nr. 473 - C
    3800 - 228 Aveiro
    Portugal

    Tel.: +351 234 729 800

    Email: atotech.es@atotech.com
    Local information

    Switzerland

    Courgenay

    • Sales office

    Atotech Deutschland GmbH & Co. KG
    Zweigniederlassung Courgenay
    Vertrieb Schweiz
    L'Armeratte 2
    CH - 2950 Courgenay
    Switzerland

    Tel.: +41 32 471 31 19
    Fax.: +41 32 566 13 29

    Email: info.schweiz@atotech.com

    Taiwan

    Taipei

    Main office
    • Sales office

    Atotech Taiwan Limited
    4F., No. 285
    Sec. 3 Nanjing E. Rd.
    Taipei 10550
    Taiwan

    Tel.: +886 2 2717 6868
    Fax.: +886 2 2713 2732

    Local information

    Taoyuan

    • Sales office

    Atotech Taiwan Limited
    5F., No. 15
    Jingguo Rd.
    Taoyuan City 33050
    Taiwan

    Tel.: +886 3 356 2468
    Fax.: +886 3 357 2585

    Local information

    Taiwan

    Kaohsiung

    • Customer support lab

    Atotech Taiwan Limited
    4F., No. 47, Dazhong 2nd Rd.
    Zuoying Dist., Kaohsiung City 813022
    Taiwan

    高雄市左營區大中二路47號4樓

    Tel.: +886 7 343 2876
    Fax.: +886 7 343 2898

    Local information

    Key Contacts / 主要聯絡人

    金屬表面處理 GMF
    李德芬 (Hannah Lee)
    Phone: +886 2 2717 6868 #303
    Email: Hannah.Lee@atotech.com

    電子事業部 EL
    李憶婷 (Bennia Lee)
    Phone: +886 3 356 2468 # 501
    Email: Bennia.Lee@atotech.com

    觀音研發中心 Guanyin ATC
    謝廷偉 (Casper Hsieh)
    Phone: +886 3 438 9788 # 601
    Email: Casper.Hsieh@atotech.com

    半導體 SC
    陳彥合 (Bobby Chen)
    Phone: +886 3 356 2468 # 950
    Email: Bobby.Chen@atotech.com

    高雄研發中心Kaohsiung ATC
    龔嫊婷 (Tina Kung)
    Phone: +886 7 343 2876 # 701
    Email: Tina.Kung@atotech.com

    環安衛HES
    郭宜慧 (Rosa Kuo)
    Phone: +886 3 438 9788 # 881
    Email: Rosa.Kuo@atotech.com

    人資行政HR
    陳雅莉 (Alice Chen)
    Phone: +886 2 2717 6868 # 113
    Email: Alice.Chen@atotech.com

    Taiwan

    Guanyin

    • TechCenter Electronics
    • Chemistry production

    Atotech Taiwan Limited
    11, Jingjian 2nd Rd.
    Guanyin Industrial Park
    Taoyuan City 32853
    Taiwan

    Tel.: +886 3 438 9788
    Fax.: +886 3 438 9792

    Local information

    Thailand

    Bangkok

    • Sales office

    Atotech (Thailand) Co., Ltd.
    11th Floor, 1 TP&T Tower
    Soi 19, Vibhavadee Rungsit Road
    Chatuchak, Chatuchak, Bangkok 10900
    Thailand

    Tel.: +66 293 618 73
    Fax.: +66 293 618 76

    Email: thomas.beck@atotech.com

    The Netherlands

    De Meern

    Main office

    Atotech B.V.
    Strijkviertel 35-2
    3454 PJ De Meern
    The Netherlands

    Tel.: +31 30 240 90 10

    Email: sales.nl@atotech.com

    The Netherlands

    Sofia

    Branch office Bulgaria

    Atotech B.V. (Nederland)
    Zk Drujba Bl. 223-B-46
    1582 Sofia
    Bulgaria

    Tel.: +359 886 816 816
    Fax.: +359 888 634 149

    Email: elitsapetrova@atotech-bg.com

    Turkey

    Istanbul

    • Sales office
    • Customer service lab

    Atotech İstanbul Kimya Sanayi Tic. Ltd. Şti.
    Barbaros Mah.
    Nesime Hanım Sok. No:4
    34746. Ataşehir - İstanbul
    Turkey

    Tel.: +90216 593 23 90
    Fax.: +90216 593 23 98

    Email: kansav.atila@atotech.com

    Turkey

    Izmir

    • Sales office
    • Customer service lab

    Atotech İstanbul Kimya Sanayi Tic. Ltd. Şti.
    Halkapınar Mah.
    1203/12 Sok. No: 2/1.
    35170. Konak - İzmir
    Turkey

    Tel.: +90 232 435 92 93
    Fax.: +90 232 435 95 99

    Email: kansav.atila@atotech.com

    Ukraine

    Kiev

    GALVANOMAYSTER Ltd.
    • Distributor Ukraine

    GALVANOMAYSTER Ltd.
    Mr. Volodymyr Baranovskyi
    Yevhen Sverstiuk Str. 11
    02660 Kiev
    Ukraine

    Tel.: +38 044 516 86 90
    Fax.: +38 044 517 16 13

    Email: v.baranovskyi@galvanomayster.kiev.ua

    United Kingdom

    West Bromwich

    • Sales office

    Atotech UK Ltd.
    William Street, West Bromwich
    West Midlands B70 0BG
    United Kingdom

    Tel.: +44 121 606 77 77
    Fax.: +44 121 606 72 00

    Email: sales.uk@atotech.com
    Local information

    UAE

    Ajman

    Al Taher Chemicals
    • Distributor U.A.E.

    Al Taher Chemicals Trading LLC
    Near Lucky R/A
    Industrial Area-2
    P.O. Box – 18912
    Ajman
    U.A.E.

    Tel.: +971 6 7482593

    Email: info@altaherchemicals.com

    USA

    Rock Hill

    Regional headquarters
    • Chemistry production
    • TechCenter Electronics
    • TechCenter General metal finishing

    Atotech USA, LLC
    1750 Overview Drive
    Rock Hill, SC 29730
    USA

    Tel.: +1 803 817 3500
    Fax.: +1 803 817 3602

    Email: usainfo@atotech.com
    Local information

    USA

    Detroit

    • Customer analytics laboratory
    • Warehouse

    Atotech USA, LLC
    35840 Beattie Drive,
    Sterling Heights, Michigan 48312
    USA

    Tel.: 1-800-PLATING

    Email: usainfo@atotech.com
    Local information

    Vietnam

    Ho Chi Minh City

    Main office
    • Sales office
    • Customer service lab

    Atotech Vietnam Co., Ltd.
    5F Hai Au Building
    39B Truong Son Street, Ward 4
    Tan Binh District
    Ho Chi Minh City
    Vietnam

    Tel.: +84 8 6296 1670
    Fax.: +84 8 6296 1675

    Vietnam

    Hanoi

    • Sales office
    • Customer service lab

    Atotech Vietnam Co., Ltd.
    Floor 2, VPI Building
    Trung Kinh Street, Yen Hoa Ward
    Cau Giay District
    Hanoi City
    Vietnam

    Tel.: +84 4 3768 7618
    Fax.: +84 4 3768 7619






      By entering your email address, you agree that we will answer your request via email.






        By entering your email address, you agree that we will answer your request via email.