There is a never ending drive for improved performance in todays electronic devices, be they in, mobile, consumer, automotive or industrial applications. As a leading surface-finishing solutions provider, delivering chemistry, equipment, and service, Atotech is developing solutions to satisfy those demands.

Fan-Out Wafer-Level Packaging (FOWLP) was developed to enable higher performance and functionality, with increased reliability, and higher levels of integration in a smaller form factor, all while targeting significant cost reductions over exiting packing technologies.

Over recent years the “line and space” requirements have become increasingly more challenging, especially with regard to reliability and yield upon scaling. Through our dedicated research programs, Atotech has developed processes to meet these challenges, thus enabling extended scaling down to smaller feature sizes.

Fracture mechanism of thin RDL lines

In upcoming FOWLP designs, the mechanical properties of copper RDL lines become increasingly important, especially so with decreasing dimensions. During thermal load, there is a significant stress applied to RDL lines occurring at the transition from the die to the molding resin which is due to the mismatch in the coefficients of thermal expansion (CTE) of the respective materials.

Fig. 1: Schematic drawing of a FOWLP. The red lines highlight
the areas of high stress impact upon thermal load.

Stress upon thermal load may lead to RDL copper cracking. During each temperature cycle, the CTE mismatch leads to repeated plastic deformation and eventually, cracks in the copper. Failure of the RDL was observed to be a brittle, intergranular fracture, with segregation of impurities to the grain boundaries leading to that embrittlement. Such embrittlement along the grain boundaries was observed for samples with relatively high impurity levels and small grains, in comparison to the layer thickness. Once the grain size approached that of the layer, a transition from brittle to ductile behavior was detected. Furthermore, grain size evolution during annealing was found to be a function of impurity incorporation into the copper deposit.

In addition to embrittlement, segregation of impurities to grain boundaries during annealing resulted in an accumulation of voids in this region (Fig. 2, right). Medium impurity levels may be obtained by deposition of copper using Electrolyte 1, which contains a common leveler additive. In contrast, no voids and large grains were observed for copper deposits of high purity (Fig. 2, left). The latter being obtained by deposition with Electrolyte 2.

Fig. 2: Schematic representation of copper deposits with
low (left) and high (right) impurity levels upon annealing.

Therefore, it is assumed that highest reliability for RDL structures of small dimensions should be obtained for pure copper deposits with large grains. Both, grain size and purity being determined by co-deposition of organic additives.

In the following paper, our approach to affect the reliability of thin RDL copper structures through control of impurity levels as a function of proper molecule design will be explained in detail.

Additive impact on reliability of electrodeposited copper in thin RDL lines

The impurity level of the copper deposit is the key parameter to control reliability and mechanical properties of the fine pitch RDL lines. Co-deposition of organic additives may lead to impurities within the metal layer. Organic additives are often polymer-based and consist of functional groups, which modify the copper deposition mechanism. Co-deposition is known to occur via a Cu(I)-accelerator-leveler complex. Correct molecule design may help to avoid such complex formation and should lead to significantly higher purity of the deposit, but does require in-depth knowledge of the effects of the various functional groups of the organic plating additives. Surface-enhanced Raman spectroscopy (SERS) has been proven as a suitable technique to investigate the mode of operation of additives under plating conditions.

The Raman intensity varies as a function of the applied potential (Fig. 3). The band at approx. 270 cm-1 represents the Cu-Cl- stretching mode. The intensity of this mode increases with increasing potential. If a common leveler additive is adsorbed via surface-confined chloride, a similar potential-dependent trend is expected. The relevant C-H stretching mode of a common leveler additive, which consists of functional groups A, also varies in the same potential range as investigated before in case of chloride. The normalized Raman peak intensities as a function of the applied potential for chloride and a leveler with functional groups A are given in Fig. 3. This comparison clearly reveals the correlation between the signal intensities of adsorbed chloride and common leveler. Thus, functional groups A allow adsorption to the chloride-covered copper surface, but they also favor adsorption of the leveler-based complex and, thereby, facilitate co-deposition.

Fig. 3: Background-corrected, normalized intensity
of the Cu-Cl- (black) and C-H (red, leveler with
functional groups A) stretching modes during anodic
scan.

Weakening of the adsorption of leveler-based complexes should lead to increasing purity of the copper deposit. Such weakening may be obtained by levelers with properly designed functional groups B, which do not interact with surface-confined chloride. The peak position of the Cu-Cl- stretching mode may be used as an indicator for interaction of the leveler with the surface.

Fig. 4 compares the Cu-Cl- stretch peak position in absence and presence of levelers consisting of different functional groups. A leveler with functional groups A result in a significant shift of the peak, while levelers with functional groups B, which are designed to exhibit weak interaction to surface-confined chloride, show no or a very weak shift.

Fig. 4: Peak positions of the Cu-Cl- stretching mode
in absence of leveler (black) and in the presence of a
leveler with functional groups A (blue) as well as a
leveler with specially designed functional groups B (red).

In addition to adsorption on the copper surface via chloride, interaction between leveler and accelerator is crucial for the formation of Cu(I)-leveler-accelerator complexes. Such interaction may be detected by careful examination of the C-SSulfonate stretching mode of the accelerator in absence and presence of leveler additives employing SERS. Addition of a common leveler additive leads to a significant shift of the peak. On the other hand, combination of the accelerator with a specially designed leveler consisting of functional groups C shows no peak shift, which indicates weak interaction.

Functional groups, that prevent formation of the complex, may also be used to partially displace the leveler from the surface by the accelerator. The accelerator is known to adsorb on the copper surface to form a co-adsorption layer with chloride. In this case, levelers with functional groups A may interact with both, chloride and accelerator. A leveler, which contains a certain amount of functional groups C should be partially displaced by the accelerator. This effect may be investigated employing electrochemical potential transient experiments. These experiments monitor potential changes upon injection of organic additives during deposition of copper. Fig. 5 shows such an experiment starting with an additive-free electrolyte (virgin-makeup solution, VMS). At time 1, a leveler, which contains a certain amount of functional groups C, was added and led to development of an overpotential (shift to more negative potentials). The accelerator was subsequently injected at time 2 and a decrease of the overpotential could be observed. This recovery indicates partial displacement of the leveler from the surface.

Fig. 5: Potential transient at -10 mA cm-2 and 1000 rpm upon injection of a leveler, which contains functional groups C, at 250 s (time 1) and accelerator at 500 s (time 2) to VMS (50 g L-1 cupric ions, 50 g L-1 sulfuric acid, and 50 ppm chloride).

All the experiments discussed within this section allow identification of suitable functional groups for the design of leveler additives, which target to yield pure copper deposits.

Impurity and microstructure analysis of copper deposits

Impurities in copper deposits were determined by means of dynamic secondary ion mass spectrometry (DSIMS).

Copper deposits prepared with Electrolyte 2 are of much higher purity in terms of sulfur than the ones prepared from Electrolyte 1. Electrolyte 2 contains a specifically designed leveler, while Electrolyte 1 contains a common leveler. As sulfur impurities were found to cause embrittlement of copper and lead to formation of voids after thermal load, it is assumed that this kind of impurity should directly impact the RDL reliability. Deposits prepared with Electrolyte 2 are almost as pure as layers prepared from additive-free VMS.

Fig. 6 depicts the microstructure of deposits obtained with Electrolyte 2. In accordance with the requirements in terms of purity and microstructure provided in Section II, the cross section through a 3µm RDL line shows that the grains are of similar dimension as the line itself.

Fig. 6: Scanning electron microscopy image of a cross section through a 3 nm RDL line deposited with Electrolyte 2 after annealing prepared by focused ion beam (left) and TEM image of a grain boundary (right).

Conclusion

Upon decreasing the dimensions of RDL copper lines in FOWLP to, or even beyond 5 µm, reliability issues may occur due to mechanical stress imparted by the different material properties of the package, namely different CTE. This stress during thermal load may cause failure of the copper conductor lines of the RDL.

The failure mechanism was found to be intergranular fracture along the grain boundaries of the RDL lines, presumably due to embrittlement induced by sulfur and chloride impurities, which segregate to the grain boundaries during annealing, leading to an accompanying accumulation of voids. Therefore, improved reliability of sub 5 µm copper lines is expected for deposits of high purity and large grains, both of which may be influenced to great extent by plating additives.

Sophisticated design of copolymer-based levelers with suitable functional groups allows for fine tuning of the deposit properties, which will address the increasing reliability demands of upcoming RDL structures in FOWLP. Selection of these functional groups requires in-depth understanding of the effect of each of these groups on the copper deposition mechanism, with SERS and electrochemistry being proven to offer excellent guidance for this selection.

A copper plating process based on a leveler, synthesized according to the guidelines derived in this work, has exhibited excellent performance in terms of purity and constitutes an ideal candidate for sub 5 µm fine-pitch RDL structures.

Authors

Dr. Ralf Schmidt – Team Manager R&D Semiconductor

Thomas Beck – Global Product Director Semiconductor

Argentina

Buenos Aires

  • Sales office

Atotech Argentina S.A.
Paraná 4574
(1605) Buenos Aires
Argentina

Tel.: +54 11 4756 71 67

Email: francisco.llerena@atotech.com

Australia

Melbourne

IMCD Australia Pty Ltd
  • Distributor Australia and New Zealand

Level 1, 352 Wellington Road
Mulgrave, VIC, 3170
Australia

Phone: +61 3 8544 3125
Fax: +61 3 8544 3299

Your contact:

Rekha Kalian
rekha.kalian@imcd.com.au
Mobile: +61 426 255 118

Austria

Purkersdorf

Main office
  • Sales office

Atotech Österreich GmbH
Linzer Straße 63
3002 Purkersdorf
Austria

Tel.: +43 223 168 24 00

Email: atotech.at@atotech.com
Local information

Belarus

Minsk

  • Software and factory automation

Visutech Plating, An Atotech Group Company
38 Nemiga St.
220004 Minsk
Belarus

Tel.: +375 (17) 348 34 23
Fax: +375 17 270 29 72

Email: info@visutechplating.by

Bolivia

Santa Cruz

Anders Bolivia Ltda.
  • Distributor Bolivia

Anders Bolivia Ltda.
Av. Udalrico Zambrana 10,
4to Anillo y Radial 17 1/2
Zona los Bosques
Santa Cruz
Bolivia

Tel.: +591 3 355 24 34
Fax: +591 3 357 85 35

Email: katia.justiniano@qanders.com

Brazil

Sao Paulo

  • Chemistry production
  • TechCenter Electronics
  • TechCenter General metal finishing
  • Sales office

Galvanotécnica Ltda.
Rua Maria Patricia da Silva, 205
Taboão da Serra-SP
CEP 06787-480
Brasil

Tel.: +55 11 4138 99 00
Fax.: +55 11 4138 99 09

Email: vendas.tabo@atotech.com

Bulgaria

Sofia

Branch office Bulgaria
  • Sales office

Atotech Bulgaria EOOD
Sofia Nadejda BL. 329 vk. A ap. 8
1220 Sofia
Bulgaria

Tel.: +359 886 816 816

Email: elitsa.petrova@atotech.com

Chile

Santiago de Chile

Anders Chile SpA
  • Distributor Chile

Anders Chile SpA
Américo Vespucio 1385 P.E. Spacioflex Edificio A Módulo 9
Comuna de Quilicura
8730596 Santiago de Chile
Chile

Tel.: +56 2 2948 8100

Email: juan.arango@qanders.com

China

Hong Kong

Main office
  • Sales office

Atotech Asia Pacific Ltd.
Unit 906-909, 9/F, Mira Place Tower A
132 Nathan Road, Tsim Sha Tsui
Kowloon
Hong Kong

Tel.: +852 272 201 08
Fax.: +852 272 135 40

Email: Atotech.KOWL-COMM@atotech.com
Local information

Nanjing

  • Sales office

Atotech (China) Chemicals Ltd.
4/F., Donglai Business Center
No. 30, Longpan ZhongLu
Nanjing
China 210016

Tel.: +86 25 8480 1788
Fax.: +86 25 8482 0708

Email: Atotech.KOWL-COMM@atotech.com
Local information

Tianjin

  • Sales office

Atotech (China) Chemicals Ltd.
No. 2, Dong FangHong Road
Economic Development Zone of JingHai
Tianjin
China 301600

Tel.: +86 22 5952 7796
Fax.: +86 22 5952 7798

Email: Atotech.KOWL-COMM@atotech.com
Local information

China

Shanghai

  • TechCenter Electronics
  • TechCenter General metal finishing

Atotech (China) Chemicals Ltd.
No. 5399 Plant A6, Wai Qing Song Road
Qingpu District
Shanghai
China 201707

Tel.: +86 21 6921 0608
Fax.: +86 21 6921 0202

Email: Atotech.KOWL-COMM@atotech.com
Local information

Wenzhou

  • Sales office

Atotech (China) Chemicals Ltd.
No. 7, Juxin Road
Juguang, Industrial Park
Wenzhou City
China 325027

Tel.: +86 577 889 388 70
Fax.: +86 577 889 388 71

Email: Atotech.KOWL-COMM@atotech.com
Local information

Further service points

Laboratories in

  • Qingdao
  • Wuhan
  • Zhaoqing
  • Zhongshan
  • Xiamen
  • Ningbo

China

Guangzhou

  • TechCenter Electronics
  • TechCenter General metal finishing
  • Chemistry production
  • Equipment manufacturing

Atotech (China) Chemicals Ltd.
73, Xinzhuang 2-Lu
Yonghe District, GETDD,
Guangzhou
China 511356

Tel.: +86 20 8297 5160
Fax.: +86 20 8297 5170

Email: Atotech.KOWL-COMM@atotech.com
Local information

Chongqing

  • Sales office

Atotech (China) Chemicals Ltd.
Building 2, Zhongrun Industrial Park
No. 252, Tonghe Road
Tongliang Dist., Chongqing
China 400039

Tel.: +86 23 8519 1000
Fax.: +86 23 8519 3000

Email: Atotech.KOWL-COMM@atotech.com
Local information

Yangzhou

  • Chemistry production

Atotech (Yangzhou) Chemicals Ltd.
No.11, Hua Dian Road
Yangzhou Chemical Industry Park
Yizheng, Yangzhou, Jiangsu
China 211400

Tel.: (+86-514) 8397-4000
Fax.: (+86-514) 8397-4029

Colombia

Bogotá

Anders Colombia S.A.S.
  • Distributor Colombia

Anders Colombia S.A.S.
Calle 26 # 102-20 Oficina 303
Edificio Buro 26
110911 Bogotá
Colombia

Tel.: +57 1 7397598

Email: jose.gomez@qanders.com

Czech Republic

Jablonec nad Nisou

  • Chemistry production
  • TechCenter General Metal Finishing
  • Sales office

Atotech CZ, a.s.
Belgicka 5119
46605 Jablonec nad Nisou
Czech Republic

Tel.: +420 483 570 000

Email: jablonec@atotech.com

Ecuador

Quito

Anders Ecuador Cia. Ltda.
  • Distributor Ecuador

Anders Ecuador Cia. Ltda.
Calle N 68A De Los Aceitunos s/n.
170307 Quito
Ecuador

Tel.: +593 2 247 84 85
Fax: +593 2 247 86 49

Email: jose.gomez@qanders.com

Egypt

Cairo

Al Hoda Chemicals
  • Distributor Egypt

Al Hoda Chemicals
13 El Fardous St.
Extention of Ahmed Said St.
Abbasseya
Cairo

Tel.: +2 0127 3474 447
Tel.: +2 02 2685 5788

Email: Sales@alhodachemicals.com

France

Saint Ouen l'Aumône

  • Sales office

Atotech France
29, Avenue de l'Eguillette
ZA du Vert Galant
95310 Saint Ouen l'Aumône
France

Tel.: +33 1 34 30 20 60

Email: atotech.france@atotech.com
Local information

 

Germany

Berlin

Regional headquarters Europe
  • Research & development
  • TechCenter Electronics
  • TechCenter General metal finishing
  • Sales office

Atotech Deutschland GmbH & Co. KG
Erasmusstrasse 20
10553 Berlin
Germany

Tel.: +49 30 349 85 0
Fax.: +49 30 349 85 777

Email: info@atotech.com
Local information

Trebur

Corrosion Protection Competence Center
  • Chemistry production
  • Research & development
  • TechCenter General metal finishing

Atotech Deutschland GmbH & Co. KG
Untergasse 47
65468 Trebur
Germany

Tel.: +49 61 4750 13 0
Fax.: +49 61 4750 13 19/29

Local information

Germany

Feucht

Equipment
  • Manufacturing
  • Research & development
  • TechCenter
  • Sales office

Atotech Deutschland GmbH & Co. KG
Industriestrasse 69
90537 Feucht
Germany

Tel.: +49 9128 725 0
Fax.: +49 9128 725 424

Email: atotech.feucht@atotech.com
Local information

Germany

Neuruppin

Production
  • Chemistry production

Ahornallee 4
Industriegebiet Temnitz Park
16818 Werder/bei Neuruppin
Germany

Tel.: +49 33 920 611 16
Fax.: +49 33 920 611 19

Email: atotech.neuruppin@atotech.com
Local information

 

Greece

Thessaloniki

Hadjikypreos - Chemicals S.A.
  • Distributor Greece

Hadjikypreos – Chemicals S.A.
Electroplating Products & Equipment
Industrial Area of Thessaloniki
Block: 48B, Street: DA9
Thessaloniki
Sindos – GR 570 22

Tel.: +30 2310 797 505
Fax.: +30 2310 797 504

Email: info@hadjikypreos.gr

Hungary

Budapest

Branch office Hungary
  • Sales office

Atotech Österreich GmbH
Magyarországi Fióktelepe
H-1143 Budapest
Francia út 43.
Hungary

Tel.: +36 1 273 1484

Email: atotech.at@atotech.com

India

Gurugram

Atotech India Private Limited
(CIN No: U74999HR1996PTC033492)

  • Sales office
  • Chemistry production

Registered office:
66 KM Stone, N.H. 8, Delhi Jaipur Highway,
Village Sidhrawali, District Gurugram – 122413
Haryana, India

Tel.: +91 124 2679 620 / 621
Fax.: +91 1274 267 189

Email: atotech.hary-admin@atotech.com
Local information

Atotech Development Center Private Limited
(CIN: U73100HR2015FTC057006)

  • Research & development
  • TechCenter Electronics
  • TechCenter General metal finishing

Sales/corporate office:
Plot No. 446 - G & H, Sector-8,
IMT Manesar Gurugram-122050,
Haryana, India

Tel.: +91 124 6447900

Pune

  • Sales office

Atotech India Private Limited
128/2, Sanghavi Complex, Telco Road
Chinchwad, Pune, Maharashtra - 411019
India

Tel.: +91 20 274 416 01 / 02
Fax: +91 20 274 416 03

Ludhiana

  • Sales office

Atotech India Private Limited
First Floor, Zoom Building
Property No. B-XXIII, 2581/1, R.K. Road
Industrial area – A, Ludhiana
Punjab - 141003
India

Tel.: +91 161 4640 192
Fax.: +91 161 4640 192

India

Bengaluru

  • Customer support lab

Atotech Development Center Private Limited
74/B, West Phase
Electronic city Phase 1
Near Y SEC IT Software
Bengaluru 560100
Karnataka, India

Tel.: +91 8110 419 000
Fax.: +91 8110 419 020

Email: atotech.bang-admin@atotech.com
Local information

Mumbai

  • Sales office

Atotech India Private Limited
UNIT No. 209, Krishna Commercial Center 6
Udyog Nagar, Off S. V. Road, Goregaon West
Mumbai, Maharashtra - 400062
India

Tel.: +91 22 2878 3400
Fax.: +91 22 2878 8278

Chennai

  • Sales office

Atotech India Private Limited
303, SIDCO AIEMA Tower, 1st Main Road
Ambattur Industrial Estate
Chennai - 600 058
Tamil Nadu
India

Tel.: +91 44 4852 8963 / +91 96 000 71 757

Indonesia

Jakarta

  • Sales office

PT. Atotech Indonesia Chemicals
The Suite Tower level 7 – Union Space
Jkt outer Ring Road No. 1, RW.2
Kamal Muara, Kec. Penjaringan
Jakarta Utara 14470
Indonesia

Tel.: +62-21 30420687
Fax.: +62-21 30420688

Email: Atotech.ATT-Singapore-CSE@atotech.com
Local information

Israel

Akko

Global Environment Solutions LTD
  • Distributor Israel

Global Environment Solutions LTD
Industrial Zone
Po Box 2408
24123 Akko
Israel

Tel.: +972 4 98 76 107
Fax.: +972 4 98 76 133

Email: RikaB@ges.co.il

Italy

Milan

Main office
  • TechCenter General metal finishing
  • Sales office

Atotech Italia S.r.l.
Via Lecco, 6
20045 Lainate (MI)
Italy

Tel.: +39 02 933 021
Fax.: +39 02 933 021 99

Email: atotech.italia@atotech.com
Local information

Italy

Cluj-Napoca

  • Sales office

Atotech Sucursala Cluj Napoca
400117 Cluj
Romania

Phone: +40 736 639825

Email: atotech.romania@atotech.com

Japan

Yokohama

Regional headquarters FarEast
  • TechCenter Electronics
  • TechCenter General metal finishing

Atotech Japan K.K.
German Industry Park
1-18-2 Hakusan
Midori-ku, Yokohama
Kanagawa 226-0006
Japan

Tel.: +81 45 937 6116
Fax.: +81 45 937 6117

Email: japan@atotech.com
Local information

Koda

  • Chemistry production

Atotech Japan K.K.
1-6 Shimo-Ohbasan
Mutsuguri, Koda-cho
Nukata-gun, Aichi 444-0122
Japan

Tel.: +81 564 62 14 15
Fax.: +81 564 56 90 00

Email: japan@atotech.com
Local information

Japan

横浜

アジア拠点
  • TechCenter Electronics
  • TechCenter General metal finishing

アトテックジャパン株式会社
226-0006
神奈川県横浜市緑区白山1-18-2
ジャーマンインダストリーパーク

Tel.: +81 45 937 6116
Fax.: +81 45 937 6117

Email: japan@atotech.com
Local information

幸田町

  • 薬品製造工場

アトテックジャパン株式会社
444-0122
愛知県額田郡幸田町六栗下大迫1-6

Tel.: +81 564 62 14 15
Fax.: +81 564 56 90 00

Email: japan@atotech.com
Local information

Korea

Jangan

  • TechCenter Electronics
  • TechCenter General metal finishing
  • Chemistry production

Atotech Korea Ltd.
37, Jangangongdan 1-gil, Jangan-myeon,
Hwaseong-si, Gyeonggi-do, ZIP: 18579
Korea

Tel.: +82 31 359 3000
Fax.: +82 31 351 8557

Email: info-korea@atotech.com
Local information

Seoul

  • Sales office

Atotech Korea Ltd.
7F Dongsung building, 21 Gukhoe-Daero 62-Gil,
Yeongdeungpo-Gu, Seoul, ZIP: 07236
Korea

Tel.: +82 2 550 7200
Fax.: +82 2 561 0015

Email: info-korea@atotech.com

Busan

  • Sales office

Atotech Korea Ltd.
#403 Busan Cheongjeongdogeum Center,
20 Noksansandan 382-ro 14gil,
Gangseo-gu, Busan, ZIP: 46757
Korea

Tel.: +82 51 973 0510
Fax.: +82 51 973 1579

Email: info-korea@atotech.com

Korea

장안

  • TechCenter Electronics
  • TechCenter General metal finishing
  • Chemistry production

Atotech Korea Ltd.
경기도 화성시 장안면 장안공단 1길 37
(zip: 18579)

Tel.: +82 31 359 3000
Fax.: +82 31 351 8557

Email: info-korea@atotech.com
Local information

서울

  • Sales office

Atotech Korea Ltd.
서울시 영등포구 국회대로 62길 21
동성빌딩 7층 (ZIP: 07236)

Tel.: +82 2 550 7200
Fax.: +82 2 561 0015

Email: info-korea@atotech.com

부산

  • Sales office

Atotech Korea Ltd.
부산시 강서구 녹산산단 382로 14번가길 20
(송정동), 부산 청정도금센터 403호 (zip:46757)

Tel.: +82 51 973 0510
Fax.: +82 51 973 1579

Email: info-korea@atotech.com

Lithuania

Vilnius

  • Sales office

UAB Atotech-Chemeta
Konstitucijos pr. 21A
LT-08130 Vilnius
Lietuva

Tel.: +370 5 272 93 94
Local information

Generalinis direktorius

Gražina Kontrimavičiūtė
Tel.: + 370 5 272 93 94
El.paštas: Grazina.Kontrimaviciute@atotech.com

Lithuania

Verslo plėtros direktorius

Dr. Jaroslaw Rozwadowski
Tel.: + 48 61 662 27 35
El.paštas: Jaroslaw.Rozwadowski@atotech.com

Pardavimų vadovas

Juozas Daukšas
Tel.: + 370 5 272 93 94
El.paštas: Juozas.Daukshas@atotech.com

Malaysia

Penang

  • Chemistry production

Atotech Malaysia Sdn. Bhd.
1182, Lorong Perindustrian Bukit Minyak 22
Taman Perindustrian Bukit Minyak
14100 Simpang Ampat
Pulau Pinang

Malaysia

Tel.: +60 4 506 9800
Fax.: +60 4 506 2280

Email: atotech.pnng-cs@atotech.com

Malaysia

Selangor

  • Sales office

Atotech Malaysia Sdn. Bhd.
Lot 6.03A, Level 6, 1 Tech Park
Tanjung Bandar Utama
Bandar Utama, 47800 Petaling Jaya
Selangor Darul Ehsan

Malaysia

Tel.: +60 3 7732 3070

Email: atotech.pnng-cs@atotech.com

Mexico

Querétaro

  • Chemistry production
  • Sales office

Atotech de México S.A. de C.V.
Carretera Estatal 100
No. 4200 Lote 33/34, Interior 4-H/4-G
Parque Industrial Aeropuerto San Ildefonso
Colón, Querétaro

México, C.P. 76295

Tel.: +52 4422 9588 62

Mexico

Business Development Manager
Norberto Pineda Salinas
Email: norberto.pineda@atotech.com
Tel. (cell): +52 1 55 8580 7816

Sales Manager
José Alberto Benedito Morant
Email: alberto.benedito@atotech.com
Tel. (cell): +52 1 55 2272 0507

Peru

Lima

Anders Peru S.A.C.
  • Distributor Peru

Anders Peru S.A.C.
JR. PASEO DEL BOSQUE Nro. 500, Int. 301
URB. CHACARILLA DEL ESTANQUE
SAN BORJA, 15037 LIMA
Peru

Tel.: +51 1 615 86 00
Fax.: +51 1 615 86 10

Email: horst.eichhorn@qanders.com

Philippines

Muntinlupa City

  • Sales office

Atotech (Philippines) Chemicals, Inc.
401-402 B2 L7
CTP Alpha Building
Investment Drive
Madrigal Business Park
Alabang, Muntinlupa City
Philippines, 1780

Tel.: +63 2 834 0100
Fax.: +63 2 833 2282

Email: singapore-cse@atotech.com
Local information

Poland

Poznań

  • Sales office

Atotech Poland Sp.z o.o.
Ul. Marcelińska 92/94
60-324 Poznań
Poland

Tel.: +48 61 662 27 35
Fax.: +48 61 662 19 02

Email: atotech.pozn-office@atotech.com
Local information

Managing Director

Jarosław Rozwadowski
Email: jaroslaw.rozwadowski@atotech.com

Poland

Deputy Business Director, Business Manager GMF, Electronics

Rafał Janowicz
Tel.: +48 506 176 303
Email: rafal.janowicz@atotech.com

Przedstawiciel Techniczno-Handlowy GMF

(Klasyczna galwanotechnika – Procesy antykorozyjne, cynk lamelarny, nikiel chemiczny, chrom techniczny)

Magdalena Gortat
PMM CRC/ZF
Tel.: + 48 506 368 167
Email: magdalena.gortat@atotech.com

Przedstawiciel Techniczno-Handlowy GMF

(Klasyczna galwanotechnika - Technologie dekoracyjne, pokrywanie plastiku)

Jacek Chomiuk
PMM DECO/POP
Tel.: + 48 506 176 294
Email: jacek.chomiuk@atotech.com

Przedstawiciel Techniczno-Handlowy PST

(Technologie wspierające procesy malowania- przygotowanie powierzchni, stripowanie farby)

Tomasz Ilski
Tel.: +48 512 368 712
Email: tomasz.ilski@atotech.com

Przedstawiciel Techniczno-Handlowy Electronika

(PCB – Produkcja płytek obwodów drukowanych)

Michał Zaczek
Tel.: +48 61 662 27 35
Email: michal.zaczek@atotech.com

Portugal

Aveiro

  • Sales office

Atotech España S.A.U.
Sucursal em Portugal
Av. Europa, nº 473 C
3800 – 228 Aveiro
Portugal

Tel.: +351 234 729 800

Email: atotech.es@atotech.com
Local information

Spain

Erandio

Main office
  • Sales office

Atotech España S.A.U.
Sociedad Unipersonal
Apartado 156 - 48950 Erandio
Ribera de Axpe, 39
48950 Erandio - Bizkaia
España

Tel.: +34 944 8030 55 / 00
Fax.: +34 944 8030 21 / 12

Email: atotech.es@atotech.com
Local information

Spain

Barcelona

Delegación Cataluña and Valencia
  • Sales office

Atotech España S.A.U.
Sociedad Unipersonal
Parc Tecnològic del Vallès
Ronda Can Fatjó, 8
08290 Cerdanyola del Vallès (Barcelona)
España

Tel.: +34 93 680 11 77
Fax.: +34 93 680 00 22

Email: atotech.es@atotech.com
Local information

Portugal

Aveiro

Sociedad Unipersonal/Sucursal em Portugal
  • Sales office

Atotech España S.A
Sociedad Unipersonal
Sucursal em Portugal
Avda. Europa, Nr. 473 - C
3800 - 228 Aveiro
Portugal

Tel.: +351 234 729 800

Email: atotech.es@atotech.com
Local information

Romania

Cluj Napoca

Sucursala Italia
  • Sales office

Calea Dorobantilor Nr. 14-16
400117 - Cluj City Center, office 003
Romania

Tel.: +40 37106 4033
Fax.: +40 37289 3814

Email: atotech.romania@atotech.com

Scandinavia

Norrköping

  • Sales office

Atotech Skandinavien AB
Slottsgatan 33
Box 5, SE-60102 Norrköping
Sweden

Tel.: +46 11 36 11 00
Fax.: +46 11 10 01 62

Email: sales.scan@atotech.com

Singapore

Singapore

  • FEC competence center
  • TechCenter Electronics
  • Sales office

Atotech (Singapore) Chemicals Pte. Ltd.
8 Buroh Street
Unit #03-01
Surface Engineering Hub
Singapore 627563

Tel.: +65 6862 26 18
Fax.: +65 6862 15 06

Email: singapore-cse@atotech.com
Please send your job application to:
Atotech.SPOR-HRD@atotech.com
Local information

Slovakia

Banská Bystrica

  • Sales office

Atotech SK, s.r.o.
J. Chalupku 8
974 01 Banská Bystrica
Slovakia

Tel.: +421 484 700 162 164
Fax.: +421 484 700 161

Email: bystrica@atotech.com

Slovenija

Podnart

  • Chemistry production

Atotech Slovenija d.d.
Podnart 43
4244 Podnart
Slovenija

Tel.: +386 4 537 60 00

Email: Atotech.PODN-INFO@atotech.com

Informacija za javnost februar 2024

Spain

Erandio

Main office
  • Sales office

Atotech España S.A.U.
Sociedad Unipersonal
Apartado 156 - 48950 Erandio
Ribera de Axpe, 39
48950 Erandio - Bizkaia
España

Tel.: +34 944 8030 55 / 00
Fax.: +34 944 8030 21 / 12

Email: atotech.es@atotech.com
Local information

Spain

Barcelona

Delegación Cataluña and Valencia
  • Sales office

Atotech España S.A.U.
Sociedad Unipersonal
Parc Tecnològic del Vallès
Ronda Can Fatjó, 8
08290 Cerdanyola del Vallès (Barcelona)
España

Tel.: +34 93 680 11 77
Fax.: +34 93 680 00 22

Email: atotech.es@atotech.com
Local information

Portugal

Aveiro

Sociedad Unipersonal/Sucursal em Portugal
  • Sales office

Atotech España S.A
Sociedad Unipersonal
Sucursal em Portugal
Avda. Europa, Nr. 473 - C
3800 - 228 Aveiro
Portugal

Tel.: +351 234 729 800

Email: atotech.es@atotech.com
Local information

Switzerland

Courgenay

  • Sales office

Atotech Deutschland GmbH & Co. KG
Zweigniederlassung Courgenay
Vertrieb Schweiz
L'Armeratte 2
CH - 2950 Courgenay
Switzerland

Tel.: +41 32 471 31 19
Fax.: +41 32 566 13 29

Email: info.schweiz@atotech.com

Taiwan

Taipei

Main office
  • Sales office

Atotech Taiwan Limited
4F., No. 285
Sec. 3 Nanjing E. Rd.
Taipei 10550
Taiwan

Tel.: +886 2 2717 6868
Fax.: +886 2 2713 2732

Local information

Taoyuan

  • Sales office

Atotech Taiwan Limited
5F., No. 15
Jingguo Rd.
Taoyuan City 33050
Taiwan

Tel.: +886 3 356 2468
Fax.: +886 3 357 2585

Local information

Taiwan

Kaohsiung

  • Customer support lab

Atotech Taiwan Limited
4F., No. 47, Dazhong 2nd Rd.
Zuoying Dist., Kaohsiung City 813022
Taiwan

高雄市左營區大中二路47號4樓

Tel.: +886 7 343 2876
Fax.: +886 7 343 2898

Local information

Key Contacts / 主要聯絡人

金屬表面處理 GMF
李德芬 (Hannah Lee)
Phone: +886 2 2717 6868 #303
Email: Hannah.Lee@atotech.com

電子事業部 EL
李憶婷 (Bennia Lee)
Phone: +886 3 356 2468 # 501
Email: Bennia.Lee@atotech.com

觀音研發中心 Guanyin ATC
謝廷偉 (Casper Hsieh)
Phone: +886 3 438 9788 # 601
Email: Casper.Hsieh@atotech.com

半導體 SC
陳彥合 (Bobby Chen)
Phone: +886 3 356 2468 # 950
Email: Bobby.Chen@atotech.com

高雄研發中心Kaohsiung ATC
龔嫊婷 (Tina Kung)
Phone: +886 7 343 2876 # 701
Email: Tina.Kung@atotech.com

環安衛HES
郭宜慧 (Rosa Kuo)
Phone: +886 3 438 9788 # 881
Email: Rosa.Kuo@atotech.com

人資行政HR
陳雅莉 (Alice Chen)
Phone: +886 2 2717 6868 # 113
Email: Alice.Chen@atotech.com

Taiwan

Guanyin

  • TechCenter Electronics
  • Chemistry production

Atotech Taiwan Limited
11, Jingjian 2nd Rd.
Guanyin Industrial Park
Taoyuan City 32853
Taiwan

Tel.: +886 3 438 9788
Fax.: +886 3 438 9792

Local information

Thailand

Bangkok

  • Sales office

Atotech (Thailand) Co., Ltd.
11th Floor, 1 TP&T Tower
Soi 19, Vibhavadee Rungsit Road
Chatuchak, Chatuchak, Bangkok 10900
Thailand

Tel.: +66 293 618 73
Fax.: +66 293 618 76

Email: thomas.beck@atotech.com

The Netherlands

De Meern

Main office

Atotech B.V.
Strijkviertel 35-2
3454 PJ De Meern
The Netherlands

Tel.: +31 30 240 90 10

Email: sales.nl@atotech.com

The Netherlands

Sofia

Branch office Bulgaria

Atotech B.V. (Nederland)
Zk Drujba Bl. 223-B-46
1582 Sofia
Bulgaria

Tel.: +359 886 816 816
Fax.: +359 888 634 149

Email: elitsapetrova@atotech-bg.com

Turkey

Istanbul

  • Sales office
  • Customer service lab

Atotech İstanbul Kimya Sanayi Tic. Ltd. Şti.
Barbaros Mah.
Nesime Hanım Sok. No:4
34746. Ataşehir - İstanbul
Turkey

Tel.: +90216 593 23 90
Fax.: +90216 593 23 98

Email: kansav.atila@atotech.com

Turkey

Izmir

  • Sales office
  • Customer service lab

Atotech İstanbul Kimya Sanayi Tic. Ltd. Şti.
Halkapınar Mah.
1203/12 Sok. No: 2/1.
35170. Konak - İzmir
Turkey

Tel.: +90 232 435 92 93
Fax.: +90 232 435 95 99

Email: kansav.atila@atotech.com

Ukraine

Kiev

GALVANOMAYSTER Ltd.
  • Distributor Ukraine

GALVANOMAYSTER Ltd.
Mr. Volodymyr Baranovskyi
Yevhen Sverstiuk Str. 11
02660 Kiev
Ukraine

Tel.: +38 044 516 86 90
Fax.: +38 044 517 16 13

Email: v.baranovskyi@galvanomayster.kiev.ua

United Kingdom

West Bromwich

  • Sales office

Atotech UK Ltd.
William Street, West Bromwich
West Midlands B70 0BG
United Kingdom

Tel.: +44 121 606 77 77
Fax.: +44 121 606 72 00

Email: sales.uk@atotech.com
Local information

UAE

Ajman

Al Taher Chemicals
  • Distributor U.A.E.

Al Taher Chemicals Trading LLC
Near Lucky R/A
Industrial Area-2
P.O. Box – 18912
Ajman
U.A.E.

Tel.: +971 6 7482593

Email: info@altaherchemicals.com

USA

Rock Hill

Regional headquarters
  • Chemistry production
  • TechCenter Electronics
  • TechCenter General metal finishing

Atotech USA, LLC
1750 Overview Drive
Rock Hill, SC 29730
USA

Tel.: +1 803 817 3500
Fax.: +1 803 817 3602

Email: usainfo@atotech.com
Local information

USA

Detroit

  • Customer analytics laboratory
  • Warehouse

Atotech USA, LLC
35840 Beattie Drive,
Sterling Heights, Michigan 48312
USA

Tel.: 1-800-PLATING

Email: usainfo@atotech.com
Local information

Vietnam

Ho Chi Minh City

Main office
  • Sales office
  • Customer service lab

Atotech Vietnam Co., Ltd.
5F Hai Au Building
39B Truong Son Street, Ward 4
Tan Binh District
Ho Chi Minh City
Vietnam

Tel.: +84 8 6296 1670
Fax.: +84 8 6296 1675

Vietnam

Hanoi

  • Sales office
  • Customer service lab

Atotech Vietnam Co., Ltd.
Floor 2, VPI Building
Trung Kinh Street, Yen Hoa Ward
Cau Giay District
Hanoi City
Vietnam

Tel.: +84 4 3768 7618
Fax.: +84 4 3768 7619






    By entering your email address, you agree that we will answer your request via email.






      By entering your email address, you agree that we will answer your request via email.