x
All

Conductor

Newsletter

July 2017
Electronics
Atotech

Robin Taylor
Marketing and Technical Sales Manager – Electronics

Dear Reader,

The HDI market is continuously evolving to fit new market needs.

The primary growth drivers for change are due to technology shifts towards smaller form factors and designs. This drives industry to increase the interconnection density of the HDI board by reducing line and space dimensions, shrinking via and pad sizes to improve the connection to the next system level and allow for a reduction as required in BGA pitch.

The increasing use of FOWLP in mobile devices, for example, combining an applications processor and memory in a single package with direct chip attach to the HDI board eliminates the need for an IC Substrate. This facilitates thinner packages and smaller HDI boards to allow more room in the mobile devices for the battery.

Atotech is at the forefront of new technology development in the HDI market, to ensure our customers can meet the demanding OEM requirements, with a number of new processes developed specifically for the advanced HDI market.

In this special HDI edition of our Conductor Newsletter we focus on a few key areas for HDI in the articles below.

  • BondFilm® LDD mSAP
    – Atotech’s Laser Direct Drilling Process for Advanced mSAP
  • Electrolytic Copper Plating Solutions, Inpulse® and InPro®
    – For HDI applications and Fan Out Panel Level Packaging (FOPLP)
  • Printoganth® T1 and Printoganth® TV
    – High throwing power electroless copper solutions
  • Aurotech® HP
    – Market Leading ENIG process for mobile devices
  • Uniplate® PLB, PLB Cu and Horizon BondFilm®
    – Equipment Packages for Electroless and Electrolytic Plating
    – Laser Drilling Pre-treatment

We hope you will find this edition of our Conductor Newsletter informative and indicative of our commitment research for our high end customer base.

With best regards

Robin Taylor
Marketing and Technical Sales Manager – Electronics

Publisher: Daniel Schmidt, Head of Electronics Marketing Worldwide
Editor: Yvonne Fütterer, Marketing Specialist Electronics

Product highlights

The changing shape of the HDI market

A move towards smaller and thinner lines and spaces

Figure 1: TSMC’s InFo Package Apple A10 Processor

Figure 2: iPhone Thickness and WLP Development by Generation

Figure 3: mSAP and amSAP Process Sequences

Figure 5: Impact of Copper Thickness on Line and Space Capability

Figure 6: BGA Design Example

The long term growth forecasts for the printed circuit board market are in the order of 2% CAAGR until 2021 according to Prismark. This varies dramatically by region, so growth is fairly flat. High Density Interconnect (HDI) Microvia, IC Package Substrates and Flexible Printed Circuits (FPC) revenues all declined in 2016 as new designs are smaller and therefore less expensive.

The primary growth drivers for change are due to technology shifts towards smaller form factors and designs. This is not conducive to value or area growth.

Current situation
HDI boards in mobile devices particularly in mobile phones are running out of space and must shrink to accommodate the need for increased space for batteries in our increasingly connected world. This has a number of effects on the HDI market in terms of requirements:

  • Increased interconnection density by miniaturization of holes, pads and conductors is required to improve connection to the next system level
    – IC Substrate or direct chip attach
  • This maximizes electrical performance, reducing latency, increasing signal speeds
    – Essential for mobile devices, Ultrabook’s and notebooks
  • Smaller solder spheres and pads require a reduction in BGA pitch which along with thinner PCB’s and die’s raise concerns over warpage and solder joint reliability

In conjunction with all of these requirements improved shielding is needed with more components crammed into a smaller space. Shielding may also be incorporated into the HDI PCB going forward and improved thermal performance of the HDI PCB is driven by mobile devices to reduce heat dissipation.

This is quite a list of requirements for the HDI market. We have already seen the introduction of High Density Fan Out Wafer Level Packaging (FOWLP) in mobile devices with the advent of TSMC’s Integrated Fan Out (InFo) package combining an Applications Processor (AP) and Memory in a Package on Package (PoP) build on the Apple A10 processor in the iPhone 7 (Figure 1).

This eliminates the need for an IC Substrate and has impressive performance metrics, higher system-level performance in a thinner package, at a cost.

Where is the market going?
Whether other mobile phone suppliers will follow suit remains to be seen, albeit it has been reported that Samsung will introduce this in its next generation devices. We can assume for high end mobile devices that this will result in a huge increase in direct chip attach to HDI boards in the future.

Direct chip attach for InFo and others will result in a very low warpage requirement and an underfill requirement under the AP/Memory stack.

The tendency towards smaller, thinner PCB’s and die’s to improve mobile device form factor is clearly shown in the development of the iPhone thickness below. The advances in HDI development and increasing levels of Wafer Level Packaging (WLP) in the devices enable this. The number of WLP’s has also significantly increased the reliability of mobile devices (Figure 2).

New laser drilling developments are required to ensure that smaller vias can be mass produced at reasonable cost and also to reduce the Heat Affection Zone (HAZ) in the dielectrics which limits via density.

New lasers with picosecond and femtosecond pulses are coming to market offering increased speed and productivity with improved quality and less HAZ at lower hole sizes.  This is a critical development to enable ultra small microvias <25µm in prepreg going forward. Improved surface treatments for copper to extend the life of CO2 Laser Direct Drilling (LDD) are also coming to the market.

Next generation designs for HDI will see a reduction to ≤ 30 µm line and space (L/S). This excludes substractive patterning techniques which have been the mainstay used in HDI production up until now. The PCB industry is already moving towards mSAP and amSAP technology to achieve latest requirements. Thinner copper foils are required and design rules also have to change to meet these new demands (Figure 3).

The reduction in copper foil thickness increases customer capability to produce fine L/S as the copper thickness on the dielectric is critical to both yield and L/S capability (Figure 5). Ultra low profile copper foils are also required which increase cost.

Design for manufacture is also very important (Figure 6).

The “headline” L/S number, in the example above 30µm, is very often much lower than the average L/S, to ensure yields are high. In this example it is around 45µm L/S, typical for the iPhone 7 for instance.

“Ultra HDI” designs are approaching SAP dimensions, for example a BGA pitch of 225µm with double trace routing requires 20µm L/S with a pad diameter of 125µm. This is already targeted for manufacture in 2017-2018 in Asia.

Challenges and Solutions
Every aspect of HDI PCB manufacture is challenged to meet these market needs:

  • Surface preparation and multilayer bonding
    – LDD preparation, dry film adhesion and copper to dielectric bonding
  • Desmear
    – Small via desmear
  • Electroless copper
    – High throw electroless copper for small microvias
  • Electrolytic copper
    – Electrolytes capable of via filling and pattern plating simultaneously
    – Through hole filling electrolytes
  • Final finishes
    – Must evolve to meet finer L/S requirements and smaller pad diameters to ensure solder joint reliability and electrical performance

For many of the challenges, Atotech has developed innovative solutions of which some are discussed in this edition of the Conductor. 

For more information, please contact:

Robin Taylor
Marketing and Technical Sales Manager Electronics at Atotech Deutschland GmbH
Phone: +49 (0)30 – 349 85 1615
Email: robin.taylor@atotech.com

 

Product highlights

BondFilm® LDD MSAP – Atotech’s Laser Direct Drilling pretreatment for advanced mSAP applications

The latest additions to the highly successful BondFilm® family

Figure 1: Process flow for BondFilm® LDD MSAP + LDD MSAP Enhancer

Figure 2: Via size versus Cu content

Figure 3: Detailed via opening results employing BondFilm® LDD MSAP at 0.5 µm as pretreatment across the copper leading curve

Figure 4: Non etching organic removal by BondFilm® LDD MSAP Enhancer – solution can be used up to 4.5 m²/L cut board

Since its first introduction in 1999, Atotech’s BondFilm® product family has been and remains to be the world’s most successful, reliable and omnipresent oxide replacement process for inner layer bonding and LDD pretreatment. Our continuous work on the product range of the BondFilm® family in light of ever changing market needs and developments has led to the most recent additions to this product family – BondFilm® LDD MSAP and BondFilm® LDD MSAP Enhancer.

Changing market needs
BondFilm® solutions for LDD pretreatment have been on the market for several years now. They are characterized by high reliability, easy handling and high throughputs. These are all clear advantages versus Black Oxide processes which is why so many customers have opted to use BondFilm® products for LDD pretreatment.  Standard applications in the HDI space normally require an etch depth of 2-3 µm in order to prepare the surface for best laser absorption. With the changing demand on HDI manufacturing processes, however, the demand for chemical processes employed has changed.

Customers have started to move towards the application of mSAP manufacturing where the copper base before the LDD process can be as thin as 3-5 µm. This also led to the need to further reduce the etch depth of 2-3 µm while improving the via creation performance and stability. At the same time, the need grew for a non-etching post-dip that removes all organic residues from the surface and optimally prepares the board for the subsequent PTH process.

BondFilm® LDD MSAP and BondFilm® LDD MSAP Enhancer
With the development of BondFilm® LDD MSAP and BondFilm® LDD MSAP Enhancer, Atotech meets these new requirements. The process follows the standard BondFilm® process flow (see figure 1) and is suitable for use with BondFilm® Horizon process equipment, offering a complete system solution. It offers uniform roughness and surface characteristics for an improved efficiency of laser absorption already at a target etch depth of 0.5 µm. As minimization of copper removal is the target of this process, a non-etching post-treatment was also introduced.

Tests and results
Extensive testing of the process was done in our TechCenter in Guangzhou, China in collaboration with an external partner for Laser Direct Drilling.  After LDD pretreatment with BondFilm® LDD MSAP a range of laser energies was tested. The optimal via size (target 50 – 65 µm) was achieved at very low laser energies, between 3-4 mj. (figure 2 and 3).

Additionally and as requested by customers, BondFilm® LDD MSAP Enhancer is a non-etching post-dip which removes the organic layer from surface below detection limits before the Plating Through Hole (PTH) process, without etching the surface at all (figure 4).

Achievements
By being perceptive, foreseeing trends and carefully listening to customer needs, our team of experts and specialists was able to react to the ever changing market needs and develop this innovative, yet simple, low-temperature pretreatment process – BondFilm® LDD MSAP. It perfectly prepares the surface for laser direct drilling at a very low etch depth of only 0.5 µm and is suited for conveyorized applications for thin material handling such as copper foils used for mSAP build ups.

Together with the post-treatment BondFilm® LDD MSAP Enhancer, which prepares the surface for subsequent process steps (PTH), it is the perfect combination to optimize the operation of mass production CO2 lasers in an environment for mSAP applications.

For more information, please contact:

Stephan Hotz
Global Product Manager Surface Treatment Technologies at Atotech Deutschland GmbH
Phone: +49 (0)30 34985- 534
eMail: Stephan.hotz@atotech.com

Product highlights

Electroplating solutions for next generation products

Atotech’s innovative pattern plating portfolio for advanced HDI utilizing mSAP/ amSAP and panel level packaging

Figure 1: Inpulse® 2HFU – Excellent BMV levelling

Figure 2: Inpulse® 2MSAP – good filling performance for BMV

Figure 3: InPro® THF – excellent filling for TH and BMV

Figure 4: MultiPlate® with Innolyte® PLP and Innolyte® P – RDL and Cu plating for Fan-Out packaging

Latest smartphone and tablet generations will have higher functionality and data transfer speeds. This can only be achieved with more complex HDI designs and a higher density of features. As a result, line/ space requirements are getting tougher and HDI manufacturing technology is being transferred from panel plating to pattern plating.

In amSAP the pattern plating is made directly on e’less copper whereas in mSAP flash plating is applied after deposition of e’less copper. The flash plating step allows stronger etching after the dry film (DF) process which ensures best capture pad cleanliness. Atotech offers a portfolio of solutions and innovative products to achieve the new requirements and best (a)mSAP pattern plating results.

Inpulse® 2HFU and Uniplate® P/LB/Cu
A drawback of high-speed laser drilling (higher energies – less number of shots) is the formation of hole-wall roughness and gaps. Standard copper reinforcement processes cannot cover some of these drilling defects entirely. Atotech’s Inpulse® 2HFU process for flash plating in Uniplate®, however, can solve this problem and leads to best BMV preparation for the subsequent copper filling. This special Inpulse® 2HFU electrolyte gives excellent throw to the BMV and overcomes hole wall roughness as well as BMV “wedge voids”. Using it together with Atotech’s Uniplate® P/LB/Cu system allows inline wet-to-wet strike plating directly after e’less metallization.

Inpulse® 2MSAP together with Uniplate® IP2
For the pattern plating step, Atotech’s latest electrolytic plating system Uniplate® IP2 Pattern is now available. Together with the new Inpulse® 2MSAP process for horizontal pattern BMV filling it allows safe transportation and reliable filling of pattern structures of lines/spaces (L/S) of 30/30 µm. The high applicable current densities and the applied pulse plating lead to a short processing time of less than 30 min for 20 µm Cu plated together with excellent line shape for best signal processing.

InPro® THF for (a)mSAP applications
Chemicals used for Vertical Conveyorised Plating lines (VCP) also need to be compatible to the corresponding equipment in order to achieve optimum production results. For VCP equipment, Atotech has developed the new InPro® series. It is designed for use with insoluble anodes and direct current (DC) rectification. Within the InPro® product portfolio we offer solutions for conformal plating, pillar plating and filling of blind micro vias (BMV) and through holes.

The new InPro® THF process provides reliable BMV filling performance at high current densities up to 3 A/dm² especially for (a)mSAP application in mass production. The process shows no tendencies for necking or dome plating for best subsequent process compatibility. It may also be used for TH filling applications for advanced package substrate technology. The filling with copper instead of plugging material offers advantages when it comes to reliability (thermal stress) because of different CTE’s. In order to meet the technological and yield requirements for next generation HDI and packaging, the process provides pattern plating with excellent pattern distribution.

Innolyte® with MultiPlate® for panel level packaging
Atotech developed the MultiPlate® system to meet the demand of increased interconnection density by miniaturization of holes, pads and conductors and the introduction of High Density Fan-Out Wafer Level Packaging (FOWLP). MultiPlate® was designed to provide a full system solution, including equipment and chemical processes, for wafer application and is now also available for panel level applications (FOPLP).

Fan-Out Wafer Level Packaging was introduced some years ago and is now seen as a key advanced packaging platform to meet the technological and cost requirements of the industry. To produce substrates at high yield and low costs substrate manufacturer try to utilize their infrastructure and cost advantages. This currently drives the industry and the supply chain – the transfer of FOWLP to FOPLP.

Atotech’s new Innolyte® series is designed to be used in the MultiPlate® Panel for high speed Cu deposition in RDL layer plating with and without micro vias as well as tall pillar plating (PoP design). The combination of new electrolytes that enable high speed Cu deposition with an adapted plating equipment technology will be the answer of the challenges the advanced packaging industry currently faces.

For more information, please contact:

Henning Huebner
Global Product Manager Panel / Pattern Plating at Atotech Deutschland GmbH
Phone: +49 30 – 349 85 434
Email: henning.huebner@atotech.com

Product highlights

Printoganth® T1 and Printoganth® TV- High throw electroless copper processes

Atotech’s metallization answers to the increasing miniaturization requirements for advanced HDI utilizing mSAP and amSAP technology

Figure 1: E’less copper deposition thickness comparison

Figure 2: TBD

Figure 3: Throwing power comparison of Printoganth® T1 and two reference processes

Background
Next generation designs for HDI will see a reduction to ≤30µm lines and spaces (L/S). This excludes semi panel plating techniques which have been the mainstay used in HDI production up until now. The PCB industry is already moving to Modified Semi Additive Processing (mSAP) and Advanced Modified Semi Additive Processing (amSAP) to achieve this capability and to improve yields. These advanced manufacturing technologies require a thicker electroless copper deposition in the BMVs compared to the panel plating process, primarily due to reliability concerns. In order to ensure highest reliability and excellent copper-to-copper interconnection on the capture pad, typically copper etching prior to the via-filling process steps is applied to remove copper oxide and potential dry film residues. In contrast to the semi panel plating techniques, for amSAP technology the total copper thickness at the side walls of the BMVs is only build-up by the electroless copper process and not by an additional strike e’lytic copper process prior to via filling. As a consequence, the copper thickness at the BMV side walls is very thin, thus extensive copper etching to clean the capture pad is not viable because of the attached risk of voiding.

In order to allow for soft etching – and a clean copper to copper interconnection – manufacturers explore the possibility to increase the absolute e’less copper thickness at the bottom of the BMV to over 0.5 µm.  As a matter of fact, the electroless copper thickness at the surface of the build-up layer is increased as well.

Key advantage
The new high throwing power electroless copper processes Printoganth® T1 for application in horizontal plating equipment and Printoganth® TV for vertical panel transportation offer the major advantage of reducing the absolute electroless copper thickness on the surface to a minimum while achieving the copper thickness targets in the BMV. Compared to established e’less copper processes for panel plating, the fine line resolution and process costs are significantly improved because the absolute copper thickness that needs to be (differentially) etched for the pattern formation is reduced – as illustrated in figure 1.

Throwing power performance
The throwing power performance of the new processes is shown exemplarily for the horizontal process Printoganth® T1 that was compared with two mass production proven horizontal reference systems (see figure 2). The test was carried out in Uniplate® LB horizontal mass production equipment, which is the standard production environment for high-end HDI manufacturing. Reasons for this are the constant process conditions for each panel and excellent fluid exchange especially in BMVs. During the test, the electroless copper thickness at the entrance of the BMVs was comparable for all three processes fluctuating around 350 nm. However, the absolute thickness at the bottom of the BMVs was significantly higher for Printoganth® T1 compared to the reference systems. This TP result of about 70 to 80% was reproduced several times in different line tests using a variety of base materials.

Summary
Printoganth® T1 and Printoganth® TV are Atotech’s electroless copper answers to increasing miniaturization requirements of the high-end HDI market segment. Both processes enable high throughput and reduced electroless copper surface thickness in order to reach the target copper thickness in the BMVs in reasonable plating times compared to established electroless copper processes.

For more information, please contact:

Tobias Sponholz
Assistant Product Manager Plating Through Hole at Atotech Deutschland GmbH
Phone: +49 (0)30 – 349 85 1573
Email: tobias.sponholz@atotech.com

Product highlights

Aurotech® HP – Market leading product for mobile device OEMs

ENIG for next generation HDI

Figure 1: Aurotech® HP – Process description

Figure 2: Metal residue free base material

Figure 3: The prevention of nickel foot to maximize resolution

Figure 4: Environmental corrosion performance demonstrated by the Kesternich test

Figure 5: Impact of optimized distribution on cost with Aurotech AU Plus

Figure 6: Controllable parameters

The next generation HDI is equally synonymous with mobile devices as traditional HDI. The next generation, however, not only has to accommodate further decreasing form factors but also has to cater for sulphur rich environments. The final statement theorizes that the dominant market will be China.

Atotech’s Aurotech® HP ENIG process conforms to and satisfies the requirement of the market leading mobile device OEMs. In this capacity the process is enjoying production proven success.

In Aurotech® HP, we offer a customer proven system, based on a systematically optimized process, which can uniquely cater for the nuances of the next generation HDI circuitry:

  1. Pretreatment (cleaner and microetch)
  2. Activation (predip, activator and post dip)
  3. High quality plating (electroless nickel and immersion gold)

1. Pretreatment: The removal of inherited artefacts and conditioning of the copper surface
Proactive DPS is designed to remove any inherited residual metals from the base material after circuitization. The source of these metals can be the copper to base material adhesion promoters and/or remnants of the metallisation (PTH) activation step. This is especially true of colloidal activation system. Failure to deal with these issues may result in shorts in a fine line production environment.

Proactive DPS in combination with DPS Microetch C with SF Special Acid, ensures the foundation for confident fine feature production.

2. Activation: Optimized initiation coupled with high resolution
For those in the know, the avoidance of nickel skip and high resolution circuitry without spreading are contradictory requirements. Never the less, the Aurotech® HP activation system combining Activator SIT and Post dip FL or NE, has made it possible to activate adequately to cope with low loading factor second image technology type (SIT) production whilst also preventing any potential nickel spreading due to the precipitation of hydrolyzed palladium in hard to rinse locations.

3. High quality plating: Effective diffusion and corrosion prevention with cost benefits
The 4 MTO capable electroless nickel, Aurotech® HP, is the flagship for this process. The high phosphorous nickel (>10% P), as previously hinted upon, is a requirement from the leading mobile device OEMs. The amorphous nickel structure guarantees market leading environmental and chemical corrosion performance.

In addition to excellent environmental corrosion properties, the potential for nickel corrosion from the gold becomes negligible.

Aurotech® AU Plus is a reduction assisted immersion gold process which by virtue of mechanism virtually eliminates nickel corrosion even on mid phosphorous nickel systems. Whilst the low nickel corrosion is a significant attribute, the cost saving potential is truly interesting to customers. To achieve true savings, the key is good gold distribution. A better distribution between the pads and the PTHs makes it possible for the minimum gold thickness to be targeted rather than a thicker, compensatory thickness to ensure gold thickness compliance. In production simulations a saving of 0,1 g/m² in gold salts could be achieved. The implications of such a saving are simulated in Figure 5.

Auxiliary equipment is also available to further enhance process control and saving. The parameters displayed in Figure 6 can be controlled.

For more information, please contact:

Rick Nichols
Global Product Manager Selective Finishes at Atotech Deutschland GmbH
Phone: +49 (0)30 – 349 85 340
Email: rick.nichols@atotech.com

Product highlights

Atotech’s equipment solutions for mSAP and amSAP

Innovative integrated horizontal system solutions for next generation HDI manufacturing

 

Figure 1: Uniplate® PLBCu6

Figure 2: Uniplate® for mSAP and amSAP overview

Figure 3: Uniplate® PLBCu6 inline wet-to-wet process)

Figure 4: Solutions available for mSAP and amSAP

Figure 5: Horizon BondFilm® equipment highlights

Figure 6: BondFilm® LDD MSAP and Horizon BondFilm®

Next generation designs for HDI will see a reduction to ≤ 30 µm line and space (L/S). This excludes substractive patterning techniques which have been the mainstay used in HDI production up until now. The PCB industry is already moving towards mSAP and amSAP technology to achieve latest requirements. Thinner copper foils are required and design rules also have to change to meet these new demands.

Uniplate® PLBCu6
Today Atotech is the only company that can provide a complete inline process solution from desmear, electroless copper to electrolytic flash copper plating.  One key benefit of the inline process is that after the electroless copper process step no handling of the PCB is necessary, which decreases any operational need, as well as further reduces the risk of contaminations to the PCB in between the electroless copper and the electrolytic flash copper plating steps.

Atotech’s Uniplate® PLBCu6 incorporates innovations that allow significant savings of water and energy while at the same time extending the life time of the chemistry used. The system utilizes the Securiganth® E series for desmear, Printoganth® U Plus or Printoganth® T1 for PTH and Inpulse® 2HFU for electrolytic copper plating to achieve superior throwing power and uniformity.

For finer line and space requirements our Uniplate® provides several universal transport system solutions to accommodate thin copper clad. For example, UTS – xs transportation system allows the safe transport of materials down to 40 μm core + 2×2 μm copper clad. In addition, we are able to offer solutions for the avoidance of particles and a sophisticated filtration package for fine line structures.

With this and many more features Atotech’s Uniplate® PLBCu6 is designed to produce outstanding reliable interconnects at a very high throughput while minimizing handling needs and consumption of resources.

System features and benefits:

  • Entirely inline process with no handling needs and optimized footprint
  • Significantly reduced water and energy consumption
  • Seeking to optimize and extend the lifetime of chemistry
  • Process fully controlled via VCS
  • Real time copper control in electroless copper bath for leading process stability
  • Automatic cleaning cycles reducing operator capacities
  • Sophisticated particle reduction and filtration packages available
  • Highly reliable metallization of through-holes and BMVs
  • Excellent throwing power and uniformity
  • Inert and segmented anodes avoiding oxygen and sludge formation

Uniplate® PLB for amSAP
Over the past years, the design of high-end printed circuit boards has become increasingly challenging for manufacturers. In particular finer lines and spaces below 30/30 μm require new technologies such as the advanced modified semi-additive process (amSAP). This reduces the differential etch depth during the pattern formation. Furthermore, thinner materials need to be processed in a reliable manner. In addition, particle control is highly important for the yield of the manufacturing process.

Atotech’s integrated solution consisting of the mass production Uniplate® PLB equipment and the reliable Printoganth® U Plus or Printoganth® T1 electroless copper process enables manufacturers to master the challenges ahead, e.g. thin material processing, particle control, dry film adhesion, thick electroless copper and optimization of operating cost.

Uniplate® PLB for amSAP features and benefits:

  • Inline process from desmear until after electroless copper (no handling in between process steps, wet to wet and high throughput)
  • VCS controlled for superior process control
  • Totally encapsulated (retaining fumes, heat, evaporation)
  • Efficient rinsing technology (3x & 4x rinses saving water and waste)
  • Superior Throwing Power in BMVs and THs and surface distribution

The benefits of the Uniplate® system are multifold and include superior panel to panel uniformity and traceability, significant savings in chemistry, high efficiency fluid management and delivery, and an automated cleaning system and cycles which saves manpower and yield.


Horizontal Pattern Plating with Uniplate® Cu IP2 Pattern
and next generation Uniplate® Cu IP3

Uniplate® Cu IP2 Pattern
Atotech’s Uniplate® Cu system (InPulse® 2) is now also available for pattern plating. The line is installed at our Yokohama TechCenter and we are processing samples for our customers. Our current system capability for mSAP is: 150 µm to 1,200 µm panel thickness; with 30/30 µm line and space. The new adjustments to our standard Uniplate® IP2 for pattern plating ensure safe and damage free thin copper transportation and result in an optimized copper distribution:

  • New roller design (ADF and super slim): safe and damage free transportation
  • New design foil guides: safe and damage free transportation and best copper distribution on the surface
  • Particle reduction and avoidance features made of avoidance of crystallization via spray nozzles, suction system for clamp drive, fine line filtration (1µm -2µm absolute) with high flow filters in Cu modules Double Cu Dissolving Tank with Fe/Cu Analyzer for an online control and stable bath solution parameters

The Uniplate® Cu IP2 Pattern plating line can be delivered as a new line or can be retrofitted into existing UTS-s or UTS-xs Plater with a retrofit package. Customers are kindly invited to process samples at our pilot line in our Yokohama TechCenter.

Next generation Uniplate® Cu IP3
To keep up with technological advancements and to have a solution for upcoming requirements in the development of mSAP and amSAP technology, we started the UniPlate® IP3 project with the main target to improve primarily plating surface distribution and transportation capability of very thin 25 µm + 2*2 µm Cu panels with minimal bending behavior. The development work is conducted at Atotech’s R&D Headquarter in Berlin and in Feucht.

Key system developments:

  • New roller design (ADF and super slim): for safe and damage free transportation
  • New flex clamps will have a better closing mechanism to avoid panel bending and ensure a reliable clamp contact for an optimum current transmission
  • New optimized anode segmentation and new rectifier for a better and more uniform copper distribution
  • Advanced spray piping rack for better fluid exchange and safer and damage free panel transportation

The next generation Uniplate® Cu IP3 will be suitable for panel and pattern plating for all types of applications. After the initial testing phase of the new UniPlate® Cu IP3 plating line and successful beta site testing with customers, the expected market release is planned in Q2 2018.

Horizon BondFilm®
Customers moving to mSAP driven by major OEMs also have a requirement for high precision laser direct drilling application on thin copper foils (3-5 µm thick) with via targets of 50 – 65 µm. Finer lines and spaces require thinner copper clad. Thinner copper clad requires a very uniform etch rate and high efficiency at low etch depths.

For this, we have created BondFilm® LDD MSAP to be run in Atotech Horizon BondFilm® equipment. Atotech therewith provides a solution for latest requirements for high precision LDD application on thin copper foils.

The BondFilm® LDD MSAP process and equipment offers a better LDD performance by improving the laser absorption on the surface. The Horizon BondFilm® line ensures superior performance at lowest etch depth and excellent uniformity via process control and traceability.

Horizon BondFilm® features and benefits:

  • Safe horizontal transportation system UTS-xs
  • Fine Line Filtration with 5 µm cartridge filters
  • Conductivity monitoring in the final rinsing step
  • Outlet module equipped with contactless electrical discharge unit
For more information, please contact:

Felix Schmidt
Manager Business Development Equipment worldwide at Atotech Deutschland GmbH
+49 (0)9128 725 633
Email: felix.schmidt@atotech.com 

Or:

Andreas Schatz
Global Product Manager Electronics Equipment at Atotech Deutschland GmbH
+49 (0)9127 725 615
Email: andreas.schatz@atotech.com

Trade show news

Thoughts and impressions from ECTC 2017

Technology Report

Figure 1: Latest technology developments and solutions for next generation FO-PLP

Figure 2: Atotech’s MultiPlate® equipment and plating processes for next generation FO-WLP and FO-PLP

ECTC’s excellent technical program and the number of key semiconductor industry people in attendance makes it a must attend event. There is a huge amount of content, both technical and market trend focused, as well as a plethora of exhibitors.

Papers are divided circa 50:50 between industry and academia which gives both a good market overview and a look into the future, and to where industry is cooperating with academia. Close to 1550 attendees and nine concurrent streams made this a very busy few days.

As with last year there was a high focus on Fan Out Wafer Level Packaging (FOWLP) with focus also on Panel Level Fan Out (PLFO) in a plenary session, with industry experts from TSMC, Nanium, Fraunhofer, Deca and Qualcomm discussing the whys, and wherefores of PLFO.

The panel was of the opinion that fan-out will eventually transition from wafer level to panel level in the not too distant future,( a vision that was not necessarily agreed to by many in the audience) however there are many hurdles to overcome:

  • Solving process issues and materials to allow the use of larger formats
    • Whether that be 450mm wafers or panels
  • Particularly related to warpage control, die shift after overmolding and registration of RDL layers
  • Standards, design constraints, inspection and potential yield issues with panel formats

Doug Yu from TSMC remarked that he sees great potential for PLFO “There is high risk, but it will be here eventually”.

“Panel and wafer are manufacturing formats, not technologies and fan out is a design, not a technology” explained Steffen Kroehnert from Nanium.  He also made the comment “We already have 50 Shades of Fan Out, we don’t need any more”.

The differential between High Density Fan Out (HDFO) with multiple layers of fine line RDL and parts currently made in wafer formats but using low density circuitry was highlighted by Steve Bezuk from Qualcomm who noted that many of their low-density designs with 10-15µm line and space are ideally suited to PLFO.

Tim Olson from Deca said PLFO  “Could reduce costs by 30% due to capital investment reduction and material efficiency improvements”.

The general opinion is that PLFO will initially focus on cost reduction with low density designs of maybe a single RDL layer whilst looking to evolve to HDFO over time but this will take some time.

Many of the technical presentations focused on fan out and ways to reduce warpage by adjusting the CTE of the glass carriers to better fit to the packages produced.

There was also significant focus on heterogeneous integration particularly at Luncheon Keynote, delivered by Babak Sabi, Corporate Vice President and Director of Assembly and Test Technology Development, Intel Corporation, who reiterated many of the concerns of other key players in the industry on:

  • Package scaling and on package heterogeneous integration
  • Multi Chip Packaging
  • Use of High Density Fan Out for heterogeneous integration

For High Performance Computing (HPC) there is a need for a new barrier layer metal to replace nickel for high current applications and in general as we move towards 5G where the higher frequencies will require a new surface finish.  There were some papers from academia / industry on Cobalt, Tungsten, Phosphorous (CoWP) ternary layers which show great promise as a barrier layer and on Electroless Palladium Autocatalytic Gold (EPAG) in this area.

From a surface finish perspective there were also interesting papers on all copper interconnects from chip to substrate or chip to chip.

Overall an excellent technical conference with too many subjects to mention as well as excellent interactive poster sessions.

For more information, please contact:

Robin Taylor
Marketing and Technical Sales Manager Electronics at Atotech Deutschland GmbH
Phone: +49 (0)30 – 349 85 1615
Email: robin.taylor@atotech.com

Trade show news

Global events and trade shows

 

 

Past Events

Atotech participated in a number of key global events during the past few months. Here’s a quick highlight:

JPCA Show 2017
As “The total solution exhibition for electronic equipment 2017”, the JPCA Show took place from June 7 to 9, 2017, at Tokyo Big Sight in Tokyo, Japan. Highly specialized and focused with large international attendance, the show’s main theme was “Mount, connect, manufacture, and expand in The Total Solution Exhibition”. The main subjects on the floor were modified Semi-Additive Process (mSAP) and fan-out wafer level packaging (FO-WLP) with many lectures, process materials and manufacturing equipment.

At the show’s Press Forum, NovaBond® IT, Atotech’s new and innovative non-etching adhesion promoter for IC substrates and high frequency application inner-layer bonding and soldermask pretreatment, was presented to press and media. In addition, Atotech experts were ready to discuss a multitude of topics at Atotech’s booth and participated at JPCA’s New Product Introduction (NPI) Forum with two presentations:

  • Electrolytic plating solutions for advanced IC substrates and beyond
  • Horizontal electroless copper solutions for next generation package substrates

Copyright: IEEE Electronics Components and Technology Conference 2017

ECTC 2017
The Electronic Components and Technology Conference (ECTC) is one of the premier international events, bringing together experts and specialists in packaging, components and microelectronic systems science, technology and education. Held from May 30 to June 2, 2017, at the Walt Disney Swan and Dolphin Resort in Florida, USA, the show provided the perfect place for Atotech to present its next generation plating technologies for FO-Panel Level Packaging (FO-PLP). Ralph Zoberbier, Manager Equipment Sales and Marketing at Atotech Deutschland GmbH, presented current manufacturing challenges in panel based packaging applications and highlighted latest technology developments and solutions on Atotech’s MultiPlate® equipment and plating processes, dedicated for next generation FO-PLP.

Atotech experts also co-authored work which was presented at the ECTC Show 2017:

  • “Scaling Cu pillars to 20μm pitch and below: Strategic role of surface finish and barrier layers” presented the first demonstration of Cu pillar interconnections at 20μm pitch, enabled by innovative interconnection designs and advances in surface finish metallurgies with precisely controlled and unique interfacial reactions.
  • “Morphology study of bimodal-particle-based all-copper interconnects formed at low sintering temperature” presented the main advantages of dip based, all-copper interconnect technologies.

C-Tex Show 2017
Atotech exhibited and presented at the C’Tex Show 2017, which was held from May 17 to 19, 2017, at the Suzhou International Expo Center in Suzhou, China. Under the Shows topic “Advanced Circuits – Leading the PCB industry to innovation”, Paul Yang, Assistant Business Manager East China at Atotech China Chemical Ltd., presented Atotech’s horizontal solutions for “Next generation HDI – mSAP and amSAP technology”, with special focus on developments in the automotive and smartphone industries.

With this presentation Atotech gave an overview of innovative solutions that meet and exceed current industry requirements. Paul Yang also discussed and introduced the industry’s key market trends and technology roadmaps.

KPCA 2017
KPCA Shows main theme was “The interconnection drives the connected world” and was held from April 25 to 27, 2017, at the KINTEX Exhibition Center in South Korea. As one of the leading companies for specialty plating chemicals, equipment and services, Atotech was present at the KPCA Show 2017 with a booth and several presentations.

Atotech introduced its new microetch process CupraEtch® FH, a new ferric-sulfate based microetching process, at the adjacent Electronic Circuits World Convention. At the KPCA Shows New Product Introduction (NPI) presentations, Atotech’s specialists presented the InPro® series, a new vertical electrolytic copper plating process family, and latest capabilities for horizontal plating solutions – Uniplate® P/LB/Cu6 for modified Semi-Additive Processes (mSAP) and Uniplate® P/LB for advanced modified Semi-Additive Processes (amSAP).

Future Events

Meet our experts and senior leaders at key global events coming up around the world:

IPCA India 2017
Date: August 03 – 05, 2017
Venue: Pragati Maidan, India

Semicon Taiwan 2017
Date: September 13 – 15, 2017
Venue: Taipei Nangang Exhibition Center, Taiwan

TPCA / IMPACT 2017
Date: October 25 – 27, 2017
Venue: Taipei Nangang Exhibition Center, Taiwan

Productronica 2017
Date: November 14 – 17, 2017
Venue: Messe Munich, Germany

Industry News

Market and Technology

At a glance report of all the important market and technology News you can’t miss

Samsung Electronics to focus only on 6nm fab technology
Samsung Electronics is reportedly focusing on the next generation of 6nm chip fabrication technology. In order to do so, the Korean tech giant will stop further investments in the 7nm chip manufacturing technology. The manufacturing of Samsung’s 6nm process and chips using its nine new ASML machines (to be installed 2017 and 2018) is expected to begin in 2019.
Source: http://www.tweaktown.com/news/58196/samsung-electronics-focus-6nm-fab-technology/index.html

Flexible printed circuit boards market expected to reach USD 27 billion globally by 2022
Flexible Printed Circuit Boards market report, published by Allied market Research, forecasts that the global market is expected to reach USD 27 billion by 2022, growing at a CAGR of 10.4% from 2016 to 2022.
Source: http://www.alliedmarketresearch.com/press-release/flexible-printed-circuit-boards-market.html

Advanced Circuits announces new offshore division
Advanced Circuits creates an offshore PCB sourcing division to meet customers’ demand for enhanced pricing flexibility for higher-volume PCB production. The new division integrates a seamless and cost-effective solution for offshore PCB sourcing while strengthening Advanced Circuits’ “One-Stop Shop” approach to PCB fabrication.
Source: http://www.4pcb.com/blog/advanced-circuits-announces-new-offshore-division/

Much ado about China’s big IC surge
Two years ago China announced a huge capital investment intended to kick-start an indigenous semiconductor industry. China’s “National IC Industry Development Outline” spells out a mission to build up a semiconductor industry that will eventually become self-sufficient. Shaojun Wei, dean at Tsinghua University and a key advisor to the Chinese government on the project, acknowledges that Chinese chip companies are starting to get frustrated and explains the current situation. The article also lists twelve things to know about China’s chip industry.
Source: http://www.eetimes.com/document.asp?doc_id=1331928

Apple ‘iPhone 8’ mass production on schedule, likely to go on sale in October
Apple will begin selling the new iPhone models in October, following a September unveiling. There will be no delay in the launch of the next-generation iPhone 8 and iPhone 7s. All suppliers are ready for mass production.
Source: http://indianexpress.com/article/technology/mobile-tabs/apple-iphone-8-mass-production-on-schedule-likely-to-go-on-sale-in-october-report/

TSMC starts production of 10nm ‘A11’ chips for Apple’s ‘iPhone 8’
Apple’s mobile processor manufacturer, TSMC, has reportedly entered into production of 10-nm chips for this year’s upcoming iPhones. The OLED-based ‘iPhone 8’ will ship shortly after the launch of two LCD-based ‘iPhone 7s’, which will also feature the charging upgrades and ‘A11’ processors.
Source: http://appleinsider.com/articles/17/05/11/tsmc-starts-production-of-10nm-a11-chips-for-apples-iphone-8

Samsung Display setting up new OLED panel line
Due to booming orders for smartphone-used OLED panels, Samsung Display is constructing a factory to produce flexible OLED panels in South Korea, where production is set to kick off in the second half of 2018.
Source: http://news.united.agronaplo.hu/item/449950_samsung-display-setting-up-new-oled-panel-line

Apple, Google and Co. build pressure on traditional Automotive OEMs
The automotive industry has become heavily influenced by innovations arriving from the electronics industry. Four main trends currently shaping the industry are: car connectivity, autonomous driving, CO2 emissions and powertrain developments, and mobility concepts for urban use. Traditional automotive OEMs are forecasted to reach global sales CAGR of 2.9% until 2020, while connected car revenues are expected to grow with a CAGR of 23.6% until 2020. Due to the large electronics involvement, large tech companies like Apple, Google and Co. are becoming increasingly active in the automotive industry and teaming up with traditional car producers.
Source: http://evertiq.com/news/41676

China’s Huawei enters PC market to take on Lenovo, HP, Dell
Chinese telecoms giant Huawei states it plans a global expansion into computers, thereby posing a fresh challenge to established PC players in a market that has suffered two years of falling sales volumes and pressure on margins. At a news conference in Berlin, the company introduced its first line-up of three personal computer models, including a 15.6-inch screen notebook, a 2-in-1 tablet and notebook hybrid and an ultra slim, metallic 13-inch notebook. It plans to target the premium-priced consumer market and aims to offer the new PCs in 12 countries in Europe, North America, Asia and the Middle East.
Source: http://www.reuters.com/article/us-huawei-tech-computers-idUSKBN18J1ST

New report “FO-WLP & Encapsulant Report” from JMS
Japan Marketing Survey (JMS) has published a new market and technology survey report about FO-WLP and Encapsulation. The report focuses on the drivers of market expansion, technical issues for cost reduction and market expansion, and market and technical trends of encapsulants for FO-WLP.
Source: http://www.jms21.co.jp/english.ver/report/syoseki/2017report/FOWLP_Encapsulant.htm

Daeduck Electronics to buy stake in Daeduck GDS for WON 29.09 billion
Daeduck Electronics Co. Ltd. said it will buy 1.8 million shares of Daeduck GDS Co. Ltd., a printed circuit board firm, for WON 29.09 billion. After transaction, it will hold a 17.7% stake in the target company.
Source: http://www.reuters.com/finance/stocks/008060.KS/key-developments/article/3603326

Tripod disposed of a factory and land located in Zhejiang province of China for CNY 73.99 million
Taiwan-based PCB firm Tripod Technology disposed of a factory and land located in Zhejiang province of China for CNY 73.99 million (USD 10.7 million). Tripod estimated it will recognize a gain of NTD 129 million (USD 4.2 million) from the sale.
Source: http://www.digitimes.com/tornado/v4/searchend.asp

AT&S plant upgrades to lift profits
AT&S, a printed circuit board and IC substrate maker, plans to produce 50,000 to 80,000 square meters of integrated circuit substrate annually in China, hoping its investment and technology upgrades in its Shanghai and Chongqing plants will improve profitability.
Source: http://www.shanghaidaily.com/business/it/ATS-plant-upgrades-to-lift-profit/shdaily.shtml

IPC report details how PCB manufacturers meet current and future technology demands
PCB Technology Trends 2016, a global study published by the IPC, shows how printed circuit board manufacturers are meeting today’s technology demands and looks at the changes expected by 2021 that will affect the whole industry. One of the study’s findings is that participants predict a substantial increase in the proportion of PCBs that are chip packages or modules over the next few years.
Source: http://www.ipc.org/ContentPage.aspx?pageid=IPC-Report-Details-How-PCB-Manufacturers-Meet-Current-and-Future-Technology-Demands

Firan Technology Group will design, develop, manufacture and supply the Display Suite Control Panel Assemblies for C919 aircrafts
First selected as a supplier for the C919 aircraft in 2013, Firan Technology Group Corporation celebrates the first Chinese-built passenger jetliner completing its first flight on May 5, 2017, from Shanghai Pudong International Airport. The narrow-body twin engine airliner meets the growing Chinese domestic air transportation demand and is for export sales globally. COMAC, the Chinese aerospace manufacturer, reportedly received 570 orders from over 20 customers.
Source: http://www.wallstreet-online.de/nachricht/9559547-firan-technology-group-ftg-celebrates-the-c919-passenger-jet-maiden-flight-with-ftg-s-cockpit-control-panel-assemblies-cpa

CHPT to provide solutions for special-purpose PCBs
IC testing solution provider Chunghwa Precision Test Technology (CHPT) has disclosed plans to develop new solutions for the manufacture of special-purpose PCBs with total investment estimated at NTD 1.05 billion (USD 34.9 million).
Source: http://electronicnewsworld.blogspot.fr/2017/05/chpt-to-provide-solutions-for-special.html 

Argentina

Buenos Aires

  • Sales office

Atotech Argentina S.A.
Paraná 4574
(1605) Buenos Aires
Argentina

Tel.: +54 11 4756 71 67

Email: francisco.llerena@atotech.com

Australia

Melbourne

IMCD Australia Pty Ltd
  • Distributor Australia and New Zealand

Level 1, 352 Wellington Road
Mulgrave, VIC, 3170
Australia

Phone: +61 3 8544 3125
Fax: +61 3 8544 3299

Your contact:

Rekha Kalian
rekha.kalian@imcd.com.au
Mobile: +61 426 255 118

Austria

Purkersdorf

Main office
  • Sales office

Atotech Österreich GmbH
Linzer Straße 63
3002 Purkersdorf
Austria

Tel.: +43 223 168 24 00

Email: atotech.at@atotech.com
Local information

Belarus

Minsk

  • Software and factory automation

Visutech Plating, An Atotech Group Company
38 Nemiga St.
220004 Minsk
Belarus

Tel.: +375 (17) 348 34 23
Fax: +375 17 270 29 72

Email: info@visutechplating.by

Bolivia

Santa Cruz

Anders Bolivia Ltda.
  • Distributor Bolivia

Anders Bolivia Ltda.
Av. Udalrico Zambrana 10,
4to Anillo y Radial 17 1/2
Zona los Bosques
Santa Cruz
Bolivia

Tel.: +591 3 355 24 34
Fax: +591 3 357 85 35

Email: katia.justiniano@qanders.com

Brazil

Sao Paulo

  • Chemistry production
  • TechCenter Electronics
  • TechCenter General metal finishing
  • Sales office

Galvanotécnica Ltda.
Rua Maria Patricia da Silva, 205
Taboão da Serra-SP
CEP 06787-480
Brasil

Tel.: +55 11 4138 99 00
Fax.: +55 11 4138 99 09

Email: vendas.tabo@atotech.com

Bulgaria

Sofia

Branch office Bulgaria
  • Sales office

Atotech Bulgaria EOOD
Sofia Nadejda BL. 329 vk. A ap. 8
1220 Sofia
Bulgaria

Tel.: +359 886 816 816

Email: elitsa.petrova@atotech.com

Chile

Santiago de Chile

Anders Chile SpA
  • Distributor Chile

Anders Chile SpA
Américo Vespucio 1385 P.E. Spacioflex Edificio A Módulo 9
Comuna de Quilicura
8730596 Santiago de Chile
Chile

Tel.: +56 2 2948 8100

Email: juan.arango@qanders.com

China

Hong Kong

Main office
  • Sales office

Atotech Asia Pacific Ltd.
Unit 906-909, 9/F, Mira Place Tower A
132 Nathan Road, Tsim Sha Tsui
Kowloon
Hong Kong

Tel.: +852 272 201 08
Fax.: +852 272 135 40

Email: Atotech.KOWL-COMM@atotech.com
Local information

Nanjing

  • Sales office

Atotech (China) Chemicals Ltd.
4/F., Donglai Business Center
No. 30, Longpan ZhongLu
Nanjing
China 210016

Tel.: +86 25 8480 1788
Fax.: +86 25 8482 0708

Email: Atotech.KOWL-COMM@atotech.com
Local information

Tianjin

  • Sales office

Atotech (China) Chemicals Ltd.
No. 2, Dong FangHong Road
Economic Development Zone of JingHai
Tianjin
China 301600

Tel.: +86 22 5952 7796
Fax.: +86 22 5952 7798

Email: Atotech.KOWL-COMM@atotech.com
Local information

China

Shanghai

  • TechCenter Electronics
  • TechCenter General metal finishing

Atotech (China) Chemicals Ltd.
No. 5399 Plant A6, Wai Qing Song Road
Qingpu District
Shanghai
China 201707

Tel.: +86 21 6921 0608
Fax.: +86 21 6921 0202

Email: Atotech.KOWL-COMM@atotech.com
Local information

Wenzhou

  • Sales office

Atotech (China) Chemicals Ltd.
No. 7, Juxin Road
Juguang, Industrial Park
Wenzhou City
China 325027

Tel.: +86 577 889 388 70
Fax.: +86 577 889 388 71

Email: Atotech.KOWL-COMM@atotech.com
Local information

Further service points

Laboratories in

  • Qingdao
  • Wuhan
  • Zhaoqing
  • Zhongshan
  • Xiamen
  • Ningbo

China

Guangzhou

  • TechCenter Electronics
  • TechCenter General metal finishing
  • Chemistry production
  • Equipment manufacturing

Atotech (China) Chemicals Ltd.
73, Xinzhuang 2-Lu
Yonghe District, GETDD,
Guangzhou
China 511356

Tel.: +86 20 8297 5160
Fax.: +86 20 8297 5170

Email: Atotech.KOWL-COMM@atotech.com
Local information

Chongqing

  • Sales office

Atotech (China) Chemicals Ltd.
Building 2, Zhongrun Industrial Park
No. 252, Tonghe Road
Tongliang Dist., Chongqing
China 400039

Tel.: +86 23 8519 1000
Fax.: +86 23 8519 3000

Email: Atotech.KOWL-COMM@atotech.com
Local information

Yangzhou

  • Chemistry production

Atotech (Yangzhou) Chemicals Ltd.
No.11, Hua Dian Road
Yangzhou Chemical Industry Park
Yizheng, Yangzhou, Jiangsu
China 211400

Tel.: (+86-514) 8397-4000
Fax.: (+86-514) 8397-4029

Colombia

Bogotá

Anders Colombia S.A.S.
  • Distributor Colombia

Anders Colombia S.A.S.
Calle 26 # 102-20 Oficina 303
Edificio Buro 26
110911 Bogotá
Colombia

Tel.: +57 1 7397598

Email: jose.gomez@qanders.com

Czech Republic

Jablonec nad Nisou

  • Chemistry production
  • TechCenter General Metal Finishing
  • Sales office

Atotech CZ, a.s.
Belgicka 5119
46605 Jablonec nad Nisou
Czech Republic

Tel.: +420 483 570 000

Email: jablonec@atotech.com

Ecuador

Quito

Anders Ecuador Cia. Ltda.
  • Distributor Ecuador

Anders Ecuador Cia. Ltda.
Calle N 68A De Los Aceitunos s/n.
170307 Quito
Ecuador

Tel.: +593 2 247 84 85
Fax: +593 2 247 86 49

Email: jose.gomez@qanders.com

Egypt

Cairo

Al Hoda Chemicals
  • Distributor Egypt

Al Hoda Chemicals
13 El Fardous St.
Extention of Ahmed Said St.
Abbasseya
Cairo

Tel.: +2 0127 3474 447
Tel.: +2 02 2685 5788

Email: Sales@alhodachemicals.com

France

Saint Ouen l'Aumône

  • Sales office

Atotech France
29, Avenue de l'Eguillette
ZA du Vert Galant
95310 Saint Ouen l'Aumône
France

Tel.: +33 1 34 30 20 60

Email: atotech.france@atotech.com
Local information

 

Germany

Berlin

Regional headquarters Europe
  • Research & development
  • TechCenter Electronics
  • TechCenter General metal finishing
  • Sales office

Atotech Deutschland GmbH & Co. KG
Erasmusstrasse 20
10553 Berlin
Germany

Tel.: +49 30 349 85 0
Fax.: +49 30 349 85 777

Email: info@atotech.com
Local information

Trebur

Corrosion Protection Competence Center
  • Chemistry production
  • Research & development
  • TechCenter General metal finishing

Atotech Deutschland GmbH & Co. KG
Untergasse 47
65468 Trebur
Germany

Tel.: +49 61 4750 13 0
Fax.: +49 61 4750 13 19/29

Local information

Germany

Feucht

Equipment
  • Manufacturing
  • Research & development
  • TechCenter
  • Sales office

Atotech Deutschland GmbH & Co. KG
Industriestrasse 69
90537 Feucht
Germany

Tel.: +49 9128 725 0
Fax.: +49 9128 725 424

Email: atotech.feucht@atotech.com
Local information

Germany

Neuruppin

Production
  • Chemistry production

Ahornallee 4
Industriegebiet Temnitz Park
16818 Werder/bei Neuruppin
Germany

Tel.: +49 33 920 611 16
Fax.: +49 33 920 611 19

Email: atotech.neuruppin@atotech.com
Local information

 

Greece

Thessaloniki

Hadjikypreos - Chemicals S.A.
  • Distributor Greece

Hadjikypreos – Chemicals S.A.
Electroplating Products & Equipment
Industrial Area of Thessaloniki
Block: 48B, Street: DA9
Thessaloniki
Sindos – GR 570 22

Tel.: +30 2310 797 505
Fax.: +30 2310 797 504

Email: info@hadjikypreos.gr

Hungary

Budapest

Branch office Hungary
  • Sales office

Atotech Österreich GmbH
Magyarországi Fióktelepe
H-1143 Budapest
Francia út 43.
Hungary

Tel.: +36 1 273 1484

Email: atotech.at@atotech.com

India

Gurugram

Atotech India Private Limited
(CIN No: U74999HR1996PTC033492)

  • Sales office
  • Chemistry production

Registered office:
66 KM Stone, N.H. 8, Delhi Jaipur Highway,
Village Sidhrawali, District Gurugram – 122413
Haryana, India

Tel.: +91 124 2679 620 / 621
Fax.: +91 1274 267 189

Email: atotech.hary-admin@atotech.com
Local information

Atotech Development Center Private Limited
(CIN: U73100HR2015FTC057006)

  • Research & development
  • TechCenter Electronics
  • TechCenter General metal finishing

Sales/corporate office:
Plot No. 446 - G & H, Sector-8,
IMT Manesar Gurugram-122050,
Haryana, India

Tel.: +91 124 6447900

Pune

  • Sales office

Atotech India Private Limited
128/2, Sanghavi Complex, Telco Road
Chinchwad, Pune, Maharashtra - 411019
India

Tel.: +91 20 274 416 01 / 02
Fax: +91 20 274 416 03

Ludhiana

  • Sales office

Atotech India Private Limited
First Floor, Zoom Building
Property No. B-XXIII, 2581/1, R.K. Road
Industrial area – A, Ludhiana
Punjab - 141003
India

Tel.: +91 161 4640 192
Fax.: +91 161 4640 192

India

Bengaluru

  • Customer support lab

Atotech Development Center Private Limited
74/B, West Phase
Electronic city Phase 1
Near Y SEC IT Software
Bengaluru 560100
Karnataka, India

Tel.: +91 8110 419 000
Fax.: +91 8110 419 020

Email: atotech.bang-admin@atotech.com
Local information

Mumbai

  • Sales office

Atotech India Private Limited
UNIT No. 209, Krishna Commercial Center 6
Udyog Nagar, Off S. V. Road, Goregaon West
Mumbai, Maharashtra - 400062
India

Tel.: +91 22 2878 3400
Fax.: +91 22 2878 8278

Chennai

  • Sales office

Atotech India Private Limited
303, SIDCO AIEMA Tower, 1st Main Road
Ambattur Industrial Estate
Chennai - 600 058
Tamil Nadu
India

Tel.: +91 44 4852 8963 / +91 96 000 71 757

Indonesia

Jakarta

  • Sales office

PT. Atotech Indonesia Chemicals
The Suite Tower level 7 – Union Space
Jkt outer Ring Road No. 1, RW.2
Kamal Muara, Kec. Penjaringan
Jakarta Utara 14470
Indonesia

Tel.: +62-21 30420687
Fax.: +62-21 30420688

Email: Atotech.ATT-Singapore-CSE@atotech.com
Local information

Israel

Akko

Global Environment Solutions LTD
  • Distributor Israel

Global Environment Solutions LTD
Industrial Zone
Po Box 2408
24123 Akko
Israel

Tel.: +972 4 98 76 107
Fax.: +972 4 98 76 133

Email: RikaB@ges.co.il

Italy

Milan

Main office
  • TechCenter General metal finishing
  • Sales office

Atotech Italia S.r.l.
Via Lecco, 6
20045 Lainate (MI)
Italy

Tel.: +39 02 933 021
Fax.: +39 02 933 021 99

Email: atotech.italia@atotech.com
Local information

Italy

Cluj-Napoca

  • Sales office

Atotech Sucursala Cluj Napoca
400117 Cluj
Romania

Phone: +40 736 639825

Email: atotech.romania@atotech.com

Japan

Yokohama

Regional headquarters FarEast
  • TechCenter Electronics
  • TechCenter General metal finishing

Atotech Japan K.K.
German Industry Park
1-18-2 Hakusan
Midori-ku, Yokohama
Kanagawa 226-0006
Japan

Tel.: +81 45 937 6116
Fax.: +81 45 937 6117

Email: japan@atotech.com
Local information

Koda

  • Chemistry production

Atotech Japan K.K.
1-6 Shimo-Ohbasan
Mutsuguri, Koda-cho
Nukata-gun, Aichi 444-0122
Japan

Tel.: +81 564 62 14 15
Fax.: +81 564 56 90 00

Email: japan@atotech.com
Local information

Japan

横浜

アジア拠点
  • TechCenter Electronics
  • TechCenter General metal finishing

アトテックジャパン株式会社
226-0006
神奈川県横浜市緑区白山1-18-2
ジャーマンインダストリーパーク

Tel.: +81 45 937 6116
Fax.: +81 45 937 6117

Email: japan@atotech.com
Local information

幸田町

  • 薬品製造工場

アトテックジャパン株式会社
444-0122
愛知県額田郡幸田町六栗下大迫1-6

Tel.: +81 564 62 14 15
Fax.: +81 564 56 90 00

Email: japan@atotech.com
Local information

Korea

Jangan

  • TechCenter Electronics
  • TechCenter General metal finishing
  • Chemistry production

Atotech Korea Ltd.
37, Jangangongdan 1-gil, Jangan-myeon,
Hwaseong-si, Gyeonggi-do, ZIP: 18579
Korea

Tel.: +82 31 359 3000
Fax.: +82 31 351 8557

Email: info-korea@atotech.com
Local information

Seoul

  • Sales office

Atotech Korea Ltd.
7F Dongsung building, 21 Gukhoe-Daero 62-Gil,
Yeongdeungpo-Gu, Seoul, ZIP: 07236
Korea

Tel.: +82 2 550 7200
Fax.: +82 2 561 0015

Email: info-korea@atotech.com

Busan

  • Sales office

Atotech Korea Ltd.
#403 Busan Cheongjeongdogeum Center,
20 Noksansandan 382-ro 14gil,
Gangseo-gu, Busan, ZIP: 46757
Korea

Tel.: +82 51 973 0510
Fax.: +82 51 973 1579

Email: info-korea@atotech.com

Korea

장안

  • TechCenter Electronics
  • TechCenter General metal finishing
  • Chemistry production

Atotech Korea Ltd.
경기도 화성시 장안면 장안공단 1길 37
(zip: 18579)

Tel.: +82 31 359 3000
Fax.: +82 31 351 8557

Email: info-korea@atotech.com
Local information

서울

  • Sales office

Atotech Korea Ltd.
서울시 영등포구 국회대로 62길 21
동성빌딩 7층 (ZIP: 07236)

Tel.: +82 2 550 7200
Fax.: +82 2 561 0015

Email: info-korea@atotech.com

부산

  • Sales office

Atotech Korea Ltd.
부산시 강서구 녹산산단 382로 14번가길 20
(송정동), 부산 청정도금센터 403호 (zip:46757)

Tel.: +82 51 973 0510
Fax.: +82 51 973 1579

Email: info-korea@atotech.com

Lithuania

Vilnius

  • Sales office

UAB Atotech-Chemeta
Konstitucijos pr. 21A
LT-08130 Vilnius
Lietuva

Tel.: +370 5 272 93 94
Local information

Generalinis direktorius

Gražina Kontrimavičiūtė
Tel.: + 370 5 272 93 94
El.paštas: Grazina.Kontrimaviciute@atotech.com

Lithuania

Verslo plėtros direktorius

Dr. Jaroslaw Rozwadowski
Tel.: + 48 61 662 27 35
El.paštas: Jaroslaw.Rozwadowski@atotech.com

Pardavimų vadovas

Juozas Daukšas
Tel.: + 370 5 272 93 94
El.paštas: Juozas.Daukshas@atotech.com

Malaysia

Penang

  • Chemistry production

Atotech Malaysia Sdn. Bhd.
1182, Lorong Perindustrian Bukit Minyak 22
Taman Perindustrian Bukit Minyak
14100 Simpang Ampat
Pulau Pinang

Malaysia

Tel.: +60 4 506 9800
Fax.: +60 4 506 2280

Email: Atotech.PNNG-customerservice@atotech.com

Malaysia

Selangor

  • Sales office

Atotech Malaysia Sdn. Bhd.
Lot 6.03A, Level 6, 1 Tech Park
Tanjung Bandar Utama
Bandar Utama, 47800 Petaling Jaya
Selangor Darul Ehsan

Malaysia

Tel.: +60 3 7732 3070

Email: Atotech.PNNG-customerservice@atotech.com

Mexico

Querétaro

  • Chemistry production
  • Sales office

Atotech de México S.A. de C.V.
Carretera Estatal 100
No. 4200 Lote 33/34, Interior 4-H/4-G
Parque Industrial Aeropuerto San Ildefonso
Colón, Querétaro

México, C.P. 76295

Tel.: +52 4422 9588 62

Mexico

Business Development Manager
Norberto Pineda Salinas
Email: norberto.pineda@atotech.com
Tel. (cell): +52 1 55 8580 7816

Sales Manager
José Alberto Benedito Morant
Email: alberto.benedito@atotech.com
Tel. (cell): +52 1 55 2272 0507

Peru

Lima

Anders Peru S.A.C.
  • Distributor Peru

Anders Peru S.A.C.
JR. PASEO DEL BOSQUE Nro. 500, Int. 301
URB. CHACARILLA DEL ESTANQUE
SAN BORJA, 15037 LIMA
Peru

Tel.: +51 1 615 86 00
Fax.: +51 1 615 86 10

Email: horst.eichhorn@qanders.com

Philippines

Muntinlupa City

  • Sales office

Atotech (Philippines) Chemicals, Inc.
401-402 B2 L7
CTP Alpha Building
Investment Drive
Madrigal Business Park
Alabang, Muntinlupa City
Philippines, 1780

Tel.: +63 2 834 0100
Fax.: +63 2 833 2282

Email: singapore-cse@atotech.com
Local information

Poland

Poznań

  • Sales office

Atotech Poland Sp.z o.o.
Ul. Marcelińska 92/94
60-324 Poznań
Poland

Tel.: +48 61 662 27 35
Fax.: +48 61 662 19 02

Email: atotech.pozn-office@atotech.com
Local information

Managing Director

Jarosław Rozwadowski
Email: jaroslaw.rozwadowski@atotech.com

Poland

Deputy Business Director, Business Manager GMF, Electronics

Rafał Janowicz
Tel.: +48 506 176 303
Email: rafal.janowicz@atotech.com

Przedstawiciel Techniczno-Handlowy GMF

(Klasyczna galwanotechnika – Procesy antykorozyjne, cynk lamelarny, nikiel chemiczny, chrom techniczny)

Magdalena Gortat
PMM CRC/ZF
Tel.: + 48 506 368 167
Email: magdalena.gortat@atotech.com

Przedstawiciel Techniczno-Handlowy GMF

(Klasyczna galwanotechnika - Technologie dekoracyjne, pokrywanie plastiku)

Jacek Chomiuk
PMM DECO/POP
Tel.: + 48 506 176 294
Email: jacek.chomiuk@atotech.com

Przedstawiciel Techniczno-Handlowy PST

(Technologie wspierające procesy malowania- przygotowanie powierzchni, stripowanie farby)

Tomasz Ilski
Tel.: +48 512 368 712
Email: tomasz.ilski@atotech.com

Przedstawiciel Techniczno-Handlowy Electronika

(PCB – Produkcja płytek obwodów drukowanych)

Michał Zaczek
Tel.: +48 61 662 27 35
Email: michal.zaczek@atotech.com

Portugal

Aveiro

  • Sales office

Atotech España S.A.U.
Sucursal em Portugal
Av. Europa, nº 473 C
3800 – 228 Aveiro
Portugal

Tel.: +351 234 729 800

Email: atotech.es@atotech.com
Local information

Spain

Erandio

Main office
  • Sales office

Atotech España S.A.U.
Sociedad Unipersonal
Apartado 156 - 48950 Erandio
Ribera de Axpe, 39
48950 Erandio - Bizkaia
España

Tel.: +34 944 8030 55 / 00
Fax.: +34 944 8030 21 / 12

Email: atotech.es@atotech.com
Local information

Spain

Barcelona

Delegación Cataluña and Valencia
  • Sales office

Atotech España S.A.U.
Sociedad Unipersonal
Parc Tecnològic del Vallès
Ronda Can Fatjó, 8
08290 Cerdanyola del Vallès (Barcelona)
España

Tel.: +34 93 680 11 77
Fax.: +34 93 680 00 22

Email: atotech.es@atotech.com
Local information

Portugal

Aveiro

Sociedad Unipersonal/Sucursal em Portugal
  • Sales office

Atotech España S.A
Sociedad Unipersonal
Sucursal em Portugal
Avda. Europa, Nr. 473 - C
3800 - 228 Aveiro
Portugal

Tel.: +351 234 729 800

Email: atotech.es@atotech.com
Local information

Romania

Cluj Napoca

Sucursala Italia
  • Sales office

Calea Dorobantilor Nr. 14-16
400117 - Cluj City Center, office 003
Romania

Tel.: +40 37106 4033
Fax.: +40 37289 3814

Email: atotech.romania@atotech.com

Scandinavia

Norrköping

  • Sales office

Atotech Skandinavien AB
Slottsgatan 33
Box 5, SE-60102 Norrköping
Sweden

Tel.: +46 11 36 11 00
Fax.: +46 11 10 01 62

Email: sales.scan@atotech.com

Singapore

Singapore

  • FEC competence center
  • TechCenter Electronics
  • Sales office

Atotech (Singapore) Chemicals Pte. Ltd.
8 Buroh Street
Unit #03-01
Surface Engineering Hub
Singapore 627563

Tel.: +65 6862 26 18
Fax.: +65 6862 15 06

Email: singapore-cse@atotech.com
Please send your job application to:
Atotech.SPOR-HRD@atotech.com
Local information

Slovakia

Banská Bystrica

  • Sales office

Atotech SK, s.r.o.
J. Chalupku 8
974 01 Banská Bystrica
Slovakia

Tel.: +421 484 700 162 164
Fax.: +421 484 700 161

Email: bystrica@atotech.com

Slovenija

Podnart

  • Chemistry production

Atotech Slovenija d.d.
Podnart 43
4244 Podnart
Slovenija

Tel.: +386 4 537 60 00

Email: Atotech.PODN-INFO@atotech.com

Informacija za javnost april 2024

Spain

Erandio

Main office
  • Sales office

Atotech España S.A.U.
Sociedad Unipersonal
Apartado 156 - 48950 Erandio
Ribera de Axpe, 39
48950 Erandio - Bizkaia
España

Tel.: +34 944 8030 55 / 00
Fax.: +34 944 8030 21 / 12

Email: atotech.es@atotech.com
Local information

Spain

Barcelona

Delegación Cataluña and Valencia
  • Sales office

Atotech España S.A.U.
Sociedad Unipersonal
Parc Tecnològic del Vallès
Ronda Can Fatjó, 8
08290 Cerdanyola del Vallès (Barcelona)
España

Tel.: +34 93 680 11 77
Fax.: +34 93 680 00 22

Email: atotech.es@atotech.com
Local information

Portugal

Aveiro

Sociedad Unipersonal/Sucursal em Portugal
  • Sales office

Atotech España S.A
Sociedad Unipersonal
Sucursal em Portugal
Avda. Europa, Nr. 473 - C
3800 - 228 Aveiro
Portugal

Tel.: +351 234 729 800

Email: atotech.es@atotech.com
Local information

Switzerland

Courgenay

  • Sales office

Atotech Deutschland GmbH & Co. KG
Zweigniederlassung Courgenay
Vertrieb Schweiz
L'Armeratte 2
CH - 2950 Courgenay
Switzerland

Tel.: +41 32 471 31 19
Fax.: +41 32 566 13 29

Email: info.schweiz@atotech.com

Taiwan

Taipei

Main office
  • Sales office

Atotech Taiwan Limited
4F., No. 285
Sec. 3 Nanjing E. Rd.
Taipei 10550
Taiwan

Tel.: +886 2 2717 6868
Fax.: +886 2 2713 2732

Local information

Taoyuan

  • Sales office

Atotech Taiwan Limited
5F., No. 15
Jingguo Rd.
Taoyuan City 33050
Taiwan

Tel.: +886 3 356 2468
Fax.: +886 3 357 2585

Local information

Taiwan

Kaohsiung

  • Customer support lab

Atotech Taiwan Limited
4F., No. 47, Dazhong 2nd Rd.
Zuoying Dist., Kaohsiung City 813022
Taiwan

高雄市左營區大中二路47號4樓

Tel.: +886 7 343 2876
Fax.: +886 7 343 2898

Local information

Key Contacts / 主要聯絡人

金屬表面處理 GMF
李德芬 (Hannah Lee)
Phone: +886 2 2717 6868 #303
Email: Hannah.Lee@atotech.com

電子事業部 EL
李憶婷 (Bennia Lee)
Phone: +886 3 356 2468 # 501
Email: Bennia.Lee@atotech.com

觀音研發中心 Guanyin ATC
謝廷偉 (Casper Hsieh)
Phone: +886 3 438 9788 # 601
Email: Casper.Hsieh@atotech.com

半導體 SC
陳彥合 (Bobby Chen)
Phone: +886 3 356 2468 # 950
Email: Bobby.Chen@atotech.com

高雄研發中心Kaohsiung ATC
龔嫊婷 (Tina Kung)
Phone: +886 7 343 2876 # 701
Email: Tina.Kung@atotech.com

環安衛HES
郭宜慧 (Rosa Kuo)
Phone: +886 3 438 9788 # 881
Email: Rosa.Kuo@atotech.com

人資行政HR
陳雅莉 (Alice Chen)
Phone: +886 2 2717 6868 # 113
Email: Alice.Chen@atotech.com

Taiwan

Guanyin

  • TechCenter Electronics
  • Chemistry production

Atotech Taiwan Limited
11, Jingjian 2nd Rd.
Guanyin Industrial Park
Taoyuan City 32853
Taiwan

Tel.: +886 3 438 9788
Fax.: +886 3 438 9792

Local information

Thailand

Bangkok

  • Sales office

Atotech (Thailand) Co., Ltd.
11th Floor, 1 TP&T Tower
Soi 19, Vibhavadee Rungsit Road
Chatuchak, Chatuchak, Bangkok 10900
Thailand

Tel.: +66 293 618 73
Fax.: +66 293 618 76

Email: thomas.beck@atotech.com

The Netherlands

De Meern

Main office

Atotech B.V.
Strijkviertel 35-2
3454 PJ De Meern
The Netherlands

Tel.: +31 30 240 90 10

Email: sales.nl@atotech.com

The Netherlands

Sofia

Branch office Bulgaria

Atotech B.V. (Nederland)
Zk Drujba Bl. 223-B-46
1582 Sofia
Bulgaria

Tel.: +359 886 816 816
Fax.: +359 888 634 149

Email: elitsapetrova@atotech-bg.com

Turkey

Istanbul

  • Sales office
  • Customer service lab

Atotech İstanbul Kimya Sanayi Tic. Ltd. Şti.
Barbaros Mah.
Nesime Hanım Sok. No:4
34746. Ataşehir - İstanbul
Turkey

Tel.: +90216 593 23 90
Fax.: +90216 593 23 98

Email: kansav.atila@atotech.com

Turkey

Izmir

  • Sales office
  • Customer service lab

Atotech İstanbul Kimya Sanayi Tic. Ltd. Şti.
Halkapınar Mah.
1203/12 Sok. No: 2/1.
35170. Konak - İzmir
Turkey

Tel.: +90 232 435 92 93
Fax.: +90 232 435 95 99

Email: kansav.atila@atotech.com

Ukraine

Kiev

GALVANOMAYSTER Ltd.
  • Distributor Ukraine

GALVANOMAYSTER Ltd.
Mr. Volodymyr Baranovskyi
Yevhen Sverstiuk Str. 11
02660 Kiev
Ukraine

Tel.: +38 044 516 86 90
Fax.: +38 044 517 16 13

Email: v.baranovskyi@galvanomayster.kiev.ua

United Kingdom

West Bromwich

  • Sales office

Atotech UK Ltd.
William Street, West Bromwich
West Midlands B70 0BG
United Kingdom

Tel.: +44 121 606 77 77
Fax.: +44 121 606 72 00

Email: sales.uk@atotech.com
Local information

UAE

Ajman

Al Taher Chemicals
  • Distributor U.A.E.

Al Taher Chemicals Trading LLC
Near Lucky R/A
Industrial Area-2
P.O. Box – 18912
Ajman
U.A.E.

Tel.: +971 6 7482593

Email: info@altaherchemicals.com

USA

Rock Hill

Regional headquarters
  • Chemistry production
  • TechCenter Electronics
  • TechCenter General metal finishing

Atotech USA, LLC
1750 Overview Drive
Rock Hill, SC 29730
USA

Tel.: +1 803 817 3500
Fax.: +1 803 817 3602

Email: usainfo@atotech.com
Local information

USA

Detroit

  • Customer analytics laboratory
  • Warehouse

Atotech USA, LLC
35840 Beattie Drive,
Sterling Heights, Michigan 48312
USA

Tel.: 1-800-PLATING

Email: usainfo@atotech.com
Local information

Vietnam

Ho Chi Minh City

Main office
  • Sales office
  • Customer service lab

Atotech Vietnam Co., Ltd.
5F Hai Au Building
39B Truong Son Street, Ward 4
Tan Binh District
Ho Chi Minh City
Vietnam

Tel.: +84 8 6296 1670
Fax.: +84 8 6296 1675

Vietnam

Hanoi

  • Sales office
  • Customer service lab

Atotech Vietnam Co., Ltd.
Floor 2, VPI Building
Trung Kinh Street, Yen Hoa Ward
Cau Giay District
Hanoi City
Vietnam

Tel.: +84 4 3768 7618
Fax.: +84 4 3768 7619






    By entering your email address, you agree that we will answer your request via email.






      By entering your email address, you agree that we will answer your request via email.