x
Home

 

Semiconductor

Newsletter

December 2017
Electronics
Atotech

 

Thomas Beck
Global Product Director
Semiconductor and Functional Electronic Coatings

 

Dear readers,

Welcome to the latest edition of our Semiconductor newsletter. In this issue, we present key information on our fan-out packaging for optimal performance in mobile devices and provide you with an insight view on our Semiconductor capabilities in Feucht, Germany.  In addition, we would like to introduce you to our new conductor metal for the next technology node interconnects, Atomplate® Cobalt, and our new universal zincation process with our Xenolyte® Conditioner ACA2.

Our article on “Fan-out packaging: a key enabler for optimal performance in mobile devices,” which was published in the Chip Scale Review, provides an overview of our complete portfolio of both chemistry and equipment which we offer in order to address the plating requirements for fan-out.

In our article on Semiconductor Advanced Packaging, we give you an overview of our production capabilities and our commitment to safe, sustainable, and efficient production in our TechCenter in Feucht, Germany. The TechCenter provides a multitude of services, including a clean room environment and a machining production workshop for high precision parts manufacturing.

Our Atomplate® cobalt process is developed in collaboration with Lam Research to provide the necessary cobalt fill of the most advanced feature sizes for the post 7 nm node era. The chemistry is compatible with the extreme demands of this novel integration scheme. The bottom-up fill characteristics of the Atomplate® cobalt process are second to none.

Last but not least, our new universal zincation process with our Xenolyte® Conditioner ACA2 ensures a homogeneous zincate layer for all Al alloys and offers good adhesion for the subsequent Ni layer, thereby overcoming the defects often caused by insufficient zincation. It enables an optimal pretreatment for our subsequent Xenolyte® plating chemistry, which is specified according to Automotive Spec AEC – Q100-010 RE and qualified at many customers of the automotive and power electronics industry.

We sincerely hope that you find this newsletter informative, interesting and useful.

Very best regards,

Thomas Beck
Global Product Director Semiconductor and Functional Electronic Coatings

Publisher: Daniel Schmidt, Head of Electronics Marketing Worldwide
Editor: Yvonne Fütterer, Marketing Specialist Electronics

Please contact us via: Web: atotech.beta.brettinghams-dev.de   I   Xing: www.xing.com/companies/atotech   I   LinkedIn: www.linkedin.com/company/atotech

Product highlights

Fan-out packaging – product market fit

“Fan-out packaging: a key enabler for optimal performance in mobile devices,” published January 2017 in the Chip Scale Review

 

 

Figure 1: An example FOWLP product-market fit for TSMC’s InFO PoP

Smarter mobile phones require innovative approaches to both IC manufacturing and packaging. Moore’s law has been pushed to its limit and is being surpassed by a new momentum for “More than Moore.” This new approach to advancing technology requires packaging solutions to push technical boundaries and enable increased integration and performance, with fan-out wafer-level packaging being considered as an ideal technology to achieve this.

Key drivers

The key drivers for the industry to pursue fan-out packaging technologies are 1) better performance, 2) higher I/O density, 3) more functionality, and 4) smaller form factor than flip chip and other WLCSP technologies. The technical benefits of fan-out are 1) better reliability performance by means of embedding and more RDLs; 2) more functionality and higher levels of integration through multi-chip embedding and complex architectures; 3) form factor reduction via innovative architectures; and 4) reduced manufacturing costs with substrate-less embedded technologies. For these reasons, the semiconductor industry will witness the substantial and widespread adoption of FOWLP technologies in the coming years.

Fan-out: exponential growth

Frequently described as a disruptive technology, fan-out will change the packaging landscape with further adoption by outsourced semiconductor assembly and test suppliers (OSATS), integrated device manufacturers (IDMs), and foundries alike. Fan-out packaging, while having recently gained momentum with the entrance of TSMC’s InFO, is not a new technology, however. Embedded wafer-level ball grid array (eWLB) is a type of fan-out packaging that has been in production at Infineon for nearly a decade. Variations of Infineon’s second-generation eWLB technology have been co-developed, qualified, and/or licensed by companies such as STMicroelectronics, STATS ChipPAC, NANIUM S.A., and ASE. Other types of fan-out packages are being produced in low volume at Nepes and Deca Technologies.

TSMC’s entry with its innovative InFO technology has, and will continue to have, a far reaching and lasting impact on the fan-out market. If Apple continues to use InFO PoP technology in future generation iPhones, surely there will be followers. Likewise, Amkor’s recent acquisition of NANIUM, particularly for their FOWLP expertise, further illustrates the significance of FOWLP for next generation packaging and substantiates the claim that fan-out is a strategic technology for those in the mobile supply chain.

In absolute numbers, the growth in fan-out technologies has been quite considerable, increasing from USD 244 million in 2015 to USD 492 million in 2016, and is expected to reach USD 891 million in 2017. According to Yole, the forecast for 2021 is a remarkable USD 1.3 billion with significant growth in both the eWLB and PoP market segments. Market reports by JMS, TechSearch International, and Prismark similarly forecast substantial growth for fan-out packaging.

Fan-out: Cu plating application requirements

The technical advantages and the forecasted market growth position fan-out as the preferred advanced packaging technology for next-generation mobile devices. However, there are still several process challenges associated with fan-out packaging. The following sections discuss requirements for Cu plating applications relative to fan-out packaging technologies.

Requirements for RDL

Trends for next-generation mobile devices – thinner smartphones with more functionality – require miniaturization at all levels. For advanced packaging technologies, miniaturization involves decreasing the RDL pitch down to 2×2µm. Redistribution layers are essential to fan-out technologies, as it is with more RDLs that I/O density is increased. Successful formation and plating of such fine features pose a challenge for both suppliers and manufacturers, with the plating challenge being the simultaneous plating of fine lines, large Cu pads, and microvias for stacked technologies, with a deposition rate that optimizes throughput.

Requirements for Cu pillar

Cu pillars are needed for certain types of fan-out packaging such as package-on package (PoP), in various dimensions including both standard pillar in the range of 40×50µm and tall pillar in the range of 200×50µm. The standard performance requirements – high-throughput, high-yield, and optimal reliability performance – can be met with an optimized Cu pillar process that enables uniform and pure Cu deposition using high-speed plating. High-speed plating will result in a higher throughput, however it also increases the risk of voiding in the intermetallic phase of Cu and SnAg and influences uniformity, both of which impact the electrical performance and yield. The purity of the deposit, and specifically the presence of organic co-deposition, also influences the voiding performance. Therefore, a good Cu pillar process should enable high-speed plating of pure and uniform Cu. This can be achieved with the right organic additives and high-speed plating equipment that collectively reduce the occurrence of organic co-deposition and optimize uniformity. When electroplating tall Cu pillars, the situation is more complex, as it is more challenging to plate high aspect ratio structures in a timely manner. (Further details about our tall pillar process are available in the Chip Scale Review article.)

You can find the full article here.

Fan-out: our product-market fit
To address the plating requirements for fan-out, Atotech offers a complete portfolio of both chemistry and equipment, and is currently working on innovative solutions to tackle next-generation challenges.

 

  • Spherolyte Cu MPX, together with our MultiPlate® system, enables extremely fast plating of pure, uniform, and thick Cu. This process has demonstrated best in class performance in terms of plating speed, non-uniformity, and organic co-deposition on wafers from leading foundries and OSATs.
  • Spherolyte Cu UF5 process for next generation packaging, currently in R&D, will enable perfectly flat and very pure Cu deposits at ≥20 ASD. Atotech’s synthesized molecule and method for this process is one-of-a-kind, and will enable technical benefits that positively and significantly impact reliability performance and throughput.
  • Spherolyte Cu UF3 RDL process is designed for both fine line plating and microvia filling for 3D stacked technologies. This process produces stable and optimal mechanical properties within a wide process window and at high purity levels. The use of high purity chemistries reduces the risk of microvoids that amass after thermal cycle testing and lead to breakages in the Cu metal lines.
  • Spherolyte Cu UF3 pillar process is market leading in terms of purity and eliminates the need for a Ni diffusion barrier before soldering, thereby reducing process steps and time, and increasing throughput. This process enables pure and uniform Cu depositions at higher current densities than industry standard ASDs.
  • Spherolyte Cu UF2 process enables very high speed plating with optimal non-uniformity.
  • Spherolyte Ni and Spherolyte Sn ECD processes for Cu pillar capping.
  • Various electroless processes – Xenolyte Ni, Xenolyte Pd, and Xenolyte Au – are available for pad metallization and the housing of RDL structures for oxidation prevention and adhesion promotion.


For more information, please contact:

Cassandra Melvin

Global Product Manager

Semiconductor and Functional Electronic Coatings

Atotech Deutschland GmbH

Phone: +49 (0)30 – 349 85445

E-mail: cassandra.melvin@atotech.com

Product highlights

Semiconductor Advanced Packaging – What are our competencies?

Equipment production in cleanroom environment for next generation technologies

Figure 1: Clean Room production in Feucht

Figure 2: CNC machines for parts manufacturing

Figure 3: CNC machines for parts manufacturing

Figure 4: Final assembly area in an ISO8/ISO7 clean room environment with MultiPlate® equipment

In an industry that is continuously evolving, Atotech strives to add value for customers by supplying high performance, top quality, and reliable production equipment and chemistries. Our focus on supplying technology-leading turnkey solutions and unparalleled customer service is made possible by our commitment to safe, sustainable, and efficient production, as well as our global approach to business, with TechCenters in over 11 countries equipped for local, on-site service and support.

Our unique network of TechCenters offers a variety of services in all of our main business areas. At the heart of our semiconductor R&D activities as well as our cleanroom equipment production are our facilities in Europe, specifically in Feucht, Germany.

Semiconductor equipment production

Our complete, state of the art equipment clean room production facility is located in Feucht. The 2,000 m² clean room was built in 2012 and meets ISO 8/7 standards. Equipment manufactured in our cleanroom facility is specially designed to satisfy the high cleanliness standards and requirements for fine line capability, while enabling very high speed plating of next generation technology features.

The cleanroom production site is used for the manufacturing of our MultiPlate® platform for wafer-level and panel-level packaging and Semiconductor applications as well as for the assembly of our VisioPlate® platform, which allows the metallization of up to G8 glass panels for the display manufacturing industry.

Machining production workshop for high precision parts manufacturing

Our production in Feucht features a high precision and highly automated CNC parts manufacturing production workshop. This accommodates our leading semiconductor machining equipment and is being used for the production of parts in a clean environment. The production area offers different high tech production machines with high speed milling, five axis milling and combined lathe/milling machines. All CNC machines produce leading precision parts and components via integration with Atotech’s CAM system.

MultiPlate® equipment

Our MultiPlate® equipment is also manufactured at our clean room manufacturing site in Feucht. MultiPlate®, an innovative ECD plating system, is designed to meet the current plating market requirements and the challenges of emerging technologies in advanced packaging. Together with our high purity Spherolyte® chemistries, MultiPlate® allows for simultaneous front and backside plating with optional individual process control for each side of the substrate and is designed for high volume manufacturing of both round wafer and square panel substrates. Additional system features provide technical benefits for embedded technologies – such as better heat management and energy efficiency –. MultiPlate® can be customized according to individual production requirements and enables the further miniaturization of power semiconductor packages to comply with future product requirements.

Sustainable production

As environmental regulations become more rigorous, we remain committed to our core values and the development of innovative and sustainable technologies that enable our customers to improve process efficiency and minimize their environmental footprint.

During production of semiconductor equipment, materials like plastic, PVC, stainless steel, etc. are recycled and a heat recovery system is employed for 70% energy regeneration in our cleanroom equipment production area.

In addition to employing sustainable manufacturing methods, we strive to develop products that are sustainable and processes that are energy efficient. We continue to monitor environmental regulations and adjust our products accordingly. We also heavily invest in R&D for next generation technologies, with the goal to provide products that are free of CMR, toxic, and allergenic substances, as well as heavy metals. Our lead free nickel and cyanide free gold for pad metallization are two examples of products that exemplify Atotech’s commitment to the elimination of dangerous and toxic substances.

Semiconductor equipment production – key features include:

 

  •  Controlled temperature and humidity
  • Regular particle measuring and tracking
  • Line testing with high purity DI water
  • Spray cleaning of incoming parts / Ultrasonic cleaning of small parts
  • Sit-over bench in entrance lock incoming parts
  • Clean room class: ISO8/ISO7
  • Area: 2,000m²
  • Airflow: 33,000m²/h
  • Air exchange: 12x/h
  • Heat recovery: 70%


For more information, please contact:

Felix Schmidt

Manager Business Development Equipment worldwide

Atotech Deutschland GmbH

Phone: +49 (0)912 872-5633

E-mail: Felix.schmidt@atotech.com

Product highlights

Atomplate® Cobalt – A new conductor metal for the next technology node interconnects

Electrolytic cobalt enables continued scaling for contact level interconnects

 

 

Figure 1: Logarithmic comparison of resistance/length vs wire cross-sectional area total across metal splits as calculated using temperature-dependent resistance and TEM metrology. (from Chawla et al, AMC/IITC 2016[1])

Figure 2: STEM micrograph of sub 20 nm interconnect features filled with the Atomplate ECD cobalt process.

Figure 3: Blanket wafer plated on a Lam Research Sabre ECD tool. The film shows no observable defects.

Figure 4: Fill of patterned areas on a wafer showing planar overburden over dense small features and a minor dimple over large pads. Complete planarization over large dimension features can be achieved by using a secondary additive.

The ever-decreasing transistor pitch has brought a need for new contact level metallization schemes. Efforts to decrease overall chip RC delay have demanded a look beyond tungsten as the traditional contact level interconnect conductor. In the 2016 AMC/IITC conference proceedings J. Chawla et al [1] presented a paper of measured metal resistivity as a function of wiring cross-sectional areas (see Figure 1).

For wire cross-sectional areas below 100 nm2, electron interface scattering plays a dominant role in the effective conductor resistivity; and subsequently wiring resistance becomes unacceptably high. Compared to traditional interconnect metals such as copper for the back end of the line (BEOL) damascene interconnects and tungsten for contact level interconnects, low electron mean free path metals can bring a benefit in wiring resistance for wire diameters below 100 nm2. The use of these metals allows some additional freedom in interconnect dimension scaling as resistivity values remain tolerable and scale more linearly with dimensions than the traditional metals.

Novel contact level interconnect metals

Candidates for novel contact level interconnect metals are cobalt, ruthenium and rhodium. Rhodium has not been considered due to cost, as well as limitations in familiarity in the traditional integration schemes. Ruthenium has been evaluated by N. Jourdan et all (e.g. AMC/IITC 2016 [2]) however process cost and challenges in the integration scheme for chemical vapor deposition (CVD) or atomic layer deposition (ALD) filled contact features limit the benefit of using this metal. Additionally, as a ruthenium bottom-up type filling process does not exist, seam voiding impacts overall usefulness of this metal as a replacement for tungsten.

Of the three listed metals, cobalt has been the preferred choice; integration schemes with cobalt as a conductor metal offer an advantage in contact resistance as well as conductor resistivity. These properties of cobalt ensure scalability beyond 5 nm node technologies. Cobalt has also been around in both front-end as well as back-end of the line integration schemes for quite a while. CVD cobalt in particular has seen use in contact silicide, interconnect liners and interconnect capping metals. Integration schemes using CVD cobalt in combination with a reflow and a subsequent PVD cobalt film have been evaluated as a process for filling the contact level interconnects. Despite adequate electrical and reliability results, a two-tiered deposition process has not readily been accepted due to cost and process time considerations. Additionally, the inherent non-planarity of vapor deposition processes over larger interconnect features has proven to be hard to handle for chemical mechanical planarization (CMP) techniques.

ECD cobalt – the preferred choice

ECD cobalt is a major contender to fulfill the role, in part due to the low cost of the process, but more so due to its capability to provide true bottom-up cobalt filling of even the smallest contact level interconnects. Bottom-up fill can be achieved with low-complexity base electrolytes, largely compatible with industry standard plating tools. The plating process works well with a TiN/CVD Co barrier/seed integration scheme, and void free fill shows a fill profile exceeding the quality of the well-known damascene copper process.  Pre-CMP planarity requirements can be met by adjusting bath and plating parameters.

Atotech’s Atomplate® cobalt process

The Atomplate® cobalt process is developed in collaboration with Lam Research to provide the necessary cobalt fill of the most advanced feature sizes for the post 7 nm node era. Designed for exclusive use on the Lam Research Sabre ECD Cu platforms, this chemistry is compatible with the extreme demands of this novel integration scheme. The bottom-up fill characteristics of the Atomplate® cobalt process are second to none; features with openings below 20 nm can be filled without pinch off, seams, or interface voids (see Figure 2). Planarity and film quality requirements can be met with ease by plating parameter adjustment (see Figures 3 and 4), or by the use of a secondary additive.

References:

[1] Resistance and Electromigration Performance of 6 nm Wires, J.S. Chawla et al. IEEE AMC/IITC, May 2016 [2] CVD-Mn/CVD-Ru-based Barrier/Liner Solution for Advanced BEOL Cu/Low-k Interconnects, N. Jourdan et al, IEEE AMC/IITC, May 2016

Atomplate® – Features and benefits

  • Promotes a void free fill through a true bottom up cobalt fill process
  • Compatible with ultrathin CVD Co seed, TaN and TiN barrier
  • Adjustable mounding and planarity control for a variety of features by hardware controls
  • Provides high purity, low resistivity cobalt films (< 100 ppm C, O, Cl, N total, S-free)
  • Provides highly reflective, low in-film defect count films
  • Optimization of overburden and film quality is possible through secondary bath additive
  • Compatible with standard cobalt base electrolytes


For more information, please contact:

Jobert van Eisden, Ph.D.

Director Dual Damascene and Semiconductor Applications Engineering

Atotech Semiconductor Technology

Phone: +1-518-894-3080

E-mail: Jobert.van-eisden@atotech.com

Product highlights

Xenolyte® Conditioner ACA 2- a new universal zincation for pad metallization in power electronics industry

Universal Al pretreatment as key enabler for reliable electroless Ni, Pd and Au Pad metallization

Figure 1: Defects of insufficient Zincation

Figure 2: Advantages of alkaline and acidic Al oxide etching

Figure 3: Process sequence of standard zincation and new modified universal zincation

Figure 4: Comparison of Ni layer after standard POR zincation and new universal zincation process

The IC packaging industry has grown significantly due to the increasing need for automation and higher performance in the automotive and power electronics industries. One of the most important key challenges in the growing power electronics industry is a cost effective and reliable interconnection technology.

Despite the advantages of emerging technologies, such as embedding, reliable wire bonding and soldering are still the dominant packaging technologies in the automotive industry. Both technologies require under bump metallization (UBM) to meet high package reliability requirements. Pad/Under Bump Metallization is used to protect the underlying interconnects, improve adhesion and provide a robust and stable interconnection of bond pads for soldering and wire bonding. Apart from Cu, most of the bond pads typically consist of sputtered Al and Al alloys, such as Al, AlCu, AlSi and AlSiCu.

The zincation process

Out of various UBM processes the electroless deposition of Ni, (Pd) and Au (EN(EP)IG) on bond pads has proven to be a promising technology to fulfil technical and economic advantages. Before the ENEPIG process can be applied, though, it is necessary to prepare the surface and remove the Al oxide layer which is formed immediately when Al pads are exposed to oxygen.

This so called zincation process contains a cleaning step to remove oxide layers and a zincate step to prevent re-oxidation, activate the surface and enable a proper adhesion for the following Ni deposition. Preferably, the zincate formation step is applied twice (double zincation) to ensure a smooth and homogeneous deposit as well as improved adhesion of the UBM stack on the Al.

Since the industry uses a variety of different Al and Al alloys, different pretreatment conditions are required in terms of time, temperature and pH to overcome defects caused by insufficient zincation (see figure 1).

The main root causes of these defects are:

  • Insufficient removal of Al passivation The insufficient removal of the Al oxide prohibits the zincate formation and results in an inhomogeneous zincate layer. In addition, the residues of Al oxide decrease the adhesion of the subsequent Ni layer and lead to seam formation, which can later on be attacked by corrosion after the Au deposition.
  • Uneven and over etching of Al As the thickness and bonding strength of Al oxide and Al varies based on the Al grain orientation, the oxide removal during etching can be uneven and attack the pure Al locally.

In general, the Al oxide layer can be removed by an acidic or alkaline etching step with benefits towards acidic etching as listed in figure 2. The main advantage of an acidic etching step is that it is compatible with polyimide which is often used as resist or passivation.

New universal zincation process with Xenolyte® Conditioner ACA 2

In comparison to our standard zincation POR, which is limited to AlCu and AlSiCu surfaces, our new universal zincation process ensures a homogeneous zincate layer for all Al alloys and offers good adhesion for the subsequent Ni layer. It was therefore necessary to modify the sequence of the acidic etching step and develop a new conditioner – Xenolyte® Conditioner ACA 2. Figure 3 shows the differences between our new universal zincation process and our standard POR. The new acidic etching process can also be combined with an alkaline or acidic zincate formation step.

Figure 4 shows the Ni plating results of standard zincation compared to our new universal zincation process. The standard zincation shows a non-closed, rough Nickel layer (A) whereas the new zincation shows a regular and closed Ni deposit (B). Especially for the zincation of Al and AlSi alloys, further optimizations could be achieved by combining acidic etching and alkaline zincate deposition (C).

The new universal zincation process, using  our Xenolyte® Conditioner ACA 2, expands our electroless UBM portfolio to treat all Al alloys and delivers a reliable pretreatment. It enables an optimal pretreatment for our subsequent Xenolyte® plating chemistry, which is specified according to Automotive Spec AEC – Q100-010 RE and qualified at many customers of the automotive and power electronics industry.


For more information, please contact:

Markus Hoerburger

Assistant Product Manager Semiconductor and Functional Electronic Coatings

Atotech Deutschland GmbH

Phone: +49 (0)30 – 349 851669

E-mail:markus.hoerburger@atotech.com

Trade show news

Global events and trade shows

 

Figure 1: ASMC 2017

 

 

Figure 2: SEMICON China

 

Figure 3: Uwe Hauf, CTO at Atotech Deutschland GmbH, at the Lucky Draw at ISS 2017

Figure 4: Atotech’s booth at the productronica / SEMICON Europa 2017

ASMC 2017

This year, the Advanced Semiconductor Manufacturing Conference (ASMC) was held from May 15 to 18, 2017, in Saratoga Springs, New York, USA. As the leading international technical conference for exploring solutions to improve the collective micro-electronics manufacturing expertise, the ASMC had record visitors in 2017.

Atotech was among the presenting companies at the ASMC 2017. Titled “Simultaneous front and back side Cu metallization on power chips,” the poster presentation outlined the many advantages of our Multiplate® equipment, such as the pure, low stressed deposit of Cu and a fast and highly efficient process flow.

 

SEMICON China 2017

As one of the most influential and premier gatherings for semiconductor professionals SEMICON China 2017, which took place from March 14 to 16, 2017, in Shanghai, China, was attended by more than 69,000 visitors. The range of interests was accordingly broad, spanning over a range of industries, technologies, and products from across the supply chain, and from design to final manufacturing.

Visitors could meet with Atotech’s experts and specialists at its booth no 5363 to discuss latest technology roadmaps, product developments, and key solutions such as MultiPlate® – a versatile and innovative ECD packaging tool -, Xenolyte® Zincate CFA2 – a universal pretreatment process for all types of Al and Al alloy wafers – or Spherolyte® RDL/Pillar 3 – a copper pillar plating process enabling flat and recess free pillar plating -.

 

 

ISS 2017

The SEMI Industry Strategy Symposium (ISS) Europe took place from March 5 to 7, 2017, in Munich, Germany. The Symposium gathers prominent experts from around the world to define future market demands and customer requirements. It provides practical insight into the applications now driving the developments of the industry, and addresses fundamental technology developments of the future and what this will mean for the markets and industries served.

Atotech was the official sponsor of the “Lucky Draw” at the ISS Europe 2017, with Uwe Hauf, Chief Technology Officer at Atotech Deutschland GmbH, presenting one lucky visitor of the draw with an iPad Air.

 

SEMICON Europa 2017

This year’s SEMICON Europa was co-located with productronica at the Messe Munich in Munich, Germany, and took place from November 14 to 17, 2017. While Hall B1 was dedicated to SEMICON Europa, Halls B2 and B3 featured productronica. This unique co-located event created the strongest single event for electronics manufacturing in Europe. The high turnout also demonstrates the growing importance of business networking and global cross-collaboration, supporting SEMIs mantra of “Connect, Collaborate, and Innovate.”

Atotech participated with two presentations at SEMICON Europa:

  • On Wednesday, November 15th, Andreas Walter, Team Manager Electroless Processes Semiconductor Advanced Packaging at Atotech Deutschland GmbH, presented “Direct electroless under bump metallization (UBM) for wire bonding and soldering on next generation power semiconductor materials”
  • On Thursday, November 16th, Thomas Beck, Business Director Semiconductor Advanced Packaging at Atotech Deutschland GmbH, presented “Additive impact on Cu microstructure for sub 10µm L/S”

Furthermore, Cassandra Melvin, Global Product Manager Semiconductor Advanced Packaging at Atotech Deutschland GmbH, functioned as Session Chair at the PE Conference, Session 4 on “Integration and packaging of power devices and outlook”, on Wednesday, November 13, from 10:00 to 11:00 am!

Meet our experts and senior leaders at key global events coming up around the world:

SEMICON Korea 2018

Date: January 31 – February 02, 2018

Venue: COEX, Seoul, Korea

 

ISS Europe 2018 

Date: March 04 – 06, 2018

Venue: Clontarf Castle Hotel, Dublin, Ireland

Industry News

Market and technology

At a glance report of all the important market and technology News you can’t miss.

Samsung will invest USD18.6 billion to widen lead in memory market
Samsung will extend its lead in memory chips and next generation smartphone displays, by heavily investing in new capacity and infrastructure in South Korea. The investment will create nearly half a million jobs, with an expected 440,000 new roles by 2021.
Source: http://uk.reuters.com/article/uk-samsung-elec-chips-idUKKBN19P05D

Qualcomm turns to TSMC for 7nm node production of Snapdragon 845
Qualcomm has ended partnership with Samsung for manufacturing of next generation Snapdragon chipset. Current chips are 10 nm node technology and next gen 7 nm node processors will be manufactured by TSMC and called Snapdragon 845.
Source: http://vr-zone.com/articles/qualcomm-ditches-samsung-sides-tsmc-7nm-snapdragon-845/127575.html

Korea replaces Taiwan as the largest semiconductor equipment market
Korea surpassed Taiwan in Q1/2017, with billings at US$3.53 billion, rising 48% on quarter and 110% from a year earlier. Meanwhile equipment billings in Taiwan fell 16% sequentially but increased 84% on year to USD 3.48 billion.
Source: http://www.digitimes.com/pda/a20170606PR203.html

200 mm fab investment finds home in China
China is hugely investing in wafer fab capacity (over a dozen new 300 mm fab announcements in the past few months) while at the same time launching more new 200 mm fab projects and capacity additions than any other region. China currently has about 700,000 wafers per month (wpm) installed fab capacity. This is forecasted to surpass 900,000 wpm by the end of 2021. By then, China’s 200 mm capacity is expected to surpass America, Japan, and Taiwan, and be second only to Europe.
Source: http://www.semi.org/en/200mm-fab-investment-finds-home-china-0

Challenges for future Fan-Outs
The fan-out wafer-level packaging market is heating up. Several packaging houses are developing new fan-out packages that could reach a new milestone and hit or break the magic 1µm line/space barrier. The article in the Semiconductor Engineering highlights the challenges the technology faces as well as current packaging trends and possible solutions the various industry players pursue.
Source: http://semiengineering.com/challenges-future-fan/

Bosch announced to build new 300mm fab in Dresden
Robert Bosch GmbH will invest USD 1.1 billion in a new semiconductor fab in Dresden, Germany. The construction will be completed end of 2019 and the first operation is scheduled for early 2021. The new fab will address the growing demand in IoT and mobility applications.“By extending our semiconductor manufacturing capacity, we are giving ourselves a sound basis for the future competiveness”, Volkmar Denner, Chairman of the Board of Management of Robert Bosch GmbH, mentioned.
Source: http://www.bosch-presse.de/pressportal/de/en/new-bosch-wafer-fab-in-dresden-germany-111360.html

Foxconn Invests USD 10 billion in U.S. LCDs – Ambitious bid to create “8K+5G” ecosystems
Hon Hai Precision, the parent company of Foxconn, will spend USD 10 billion to build in Kenosha, Wisconsin a 10.5-generation LCD plant for 8K displays. The deal brokered by the Trump Administration includes USD 3 billion in tax breaks to create at least 3,000 Foxconn jobs in the U.S. “[…] this is part of a bigger plan to create a robust 8K+5G ecosystem in the United States,” Gou said, Foxconn Chairman and founder.
Source: http://www.eetimes.com/document.asp?doc_id=1332072&

Argentina

Buenos Aires

  • Sales office

Atotech Argentina S.A.
Paraná 4574
(1605) Buenos Aires
Argentina

Tel.: +54 11 4756 71 67

Email: francisco.llerena@atotech.com

Australia

Melbourne

IMCD Australia Pty Ltd
  • Distributor Australia and New Zealand

Level 1, 352 Wellington Road
Mulgrave, VIC, 3170
Australia

Phone: +61 3 8544 3125
Fax: +61 3 8544 3299

Your contact:

Rekha Kalian
rekha.kalian@imcd.com.au
Mobile: +61 426 255 118

Austria

Purkersdorf

Main office
  • Sales office

Atotech Österreich GmbH
Linzer Straße 63
3002 Purkersdorf
Austria

Tel.: +43 223 168 24 00

Email: atotech.at@atotech.com
Local information

Belarus

Minsk

  • Software and factory automation

Visutech Plating, An Atotech Group Company
38 Nemiga St.
220004 Minsk
Belarus

Tel.: +375 (17) 348 34 23
Fax: +375 17 270 29 72

Email: info@visutechplating.by

Bolivia

Santa Cruz

Anders Bolivia Ltda.
  • Distributor Bolivia

Anders Bolivia Ltda.
Av. Udalrico Zambrana 10,
4to Anillo y Radial 17 1/2
Zona los Bosques
Santa Cruz
Bolivia

Tel.: +591 3 355 24 34
Fax: +591 3 357 85 35

Email: katia.justiniano@qanders.com

Brazil

Sao Paulo

  • Chemistry production
  • TechCenter Electronics
  • TechCenter General metal finishing
  • Sales office

Galvanotécnica Ltda.
Rua Maria Patricia da Silva, 205
Taboão da Serra-SP
CEP 06787-480
Brasil

Tel.: +55 11 4138 99 00
Fax.: +55 11 4138 99 09

Email: vendas.tabo@atotech.com

Bulgaria

Sofia

Branch office Bulgaria
  • Sales office

Atotech Bulgaria EOOD
Sofia Nadejda BL. 329 vk. A ap. 8
1220 Sofia
Bulgaria

Tel.: +359 886 816 816

Email: elitsa.petrova@atotech.com

Chile

Santiago de Chile

Anders Chile SpA
  • Distributor Chile

Anders Chile SpA
Américo Vespucio 1385 P.E. Spacioflex Edificio A Módulo 9
Comuna de Quilicura
8730596 Santiago de Chile
Chile

Tel.: +56 2 2948 8100

Email: juan.arango@qanders.com

China

Hong Kong

Main office
  • Sales office

Atotech Asia Pacific Ltd.
Unit 906-909, 9/F, Mira Place Tower A
132 Nathan Road, Tsim Sha Tsui
Kowloon
Hong Kong

Tel.: +852 272 201 08
Fax.: +852 272 135 40

Email: Atotech.KOWL-COMM@atotech.com
Local information

Nanjing

  • Sales office

Atotech (China) Chemicals Ltd.
4/F., Donglai Business Center
No. 30, Longpan ZhongLu
Nanjing
China 210016

Tel.: +86 25 8480 1788
Fax.: +86 25 8482 0708

Email: Atotech.KOWL-COMM@atotech.com
Local information

Tianjin

  • Sales office

Atotech (China) Chemicals Ltd.
No. 2, Dong FangHong Road
Economic Development Zone of JingHai
Tianjin
China 301600

Tel.: +86 22 5952 7796
Fax.: +86 22 5952 7798

Email: Atotech.KOWL-COMM@atotech.com
Local information

China

Shanghai

  • TechCenter Electronics
  • TechCenter General metal finishing

Atotech (China) Chemicals Ltd.
No. 5399 Plant A6, Wai Qing Song Road
Qingpu District
Shanghai
China 201707

Tel.: +86 21 6921 0608
Fax.: +86 21 6921 0202

Email: Atotech.KOWL-COMM@atotech.com
Local information

Wenzhou

  • Sales office

Atotech (China) Chemicals Ltd.
No. 7, Juxin Road
Juguang, Industrial Park
Wenzhou City
China 325027

Tel.: +86 577 889 388 70
Fax.: +86 577 889 388 71

Email: Atotech.KOWL-COMM@atotech.com
Local information

Further service points

Laboratories in

  • Qingdao
  • Wuhan
  • Zhaoqing
  • Zhongshan
  • Xiamen
  • Ningbo

China

Guangzhou

  • TechCenter Electronics
  • TechCenter General metal finishing
  • Chemistry production
  • Equipment manufacturing

Atotech (China) Chemicals Ltd.
73, Xinzhuang 2-Lu
Yonghe District, GETDD,
Guangzhou
China 511356

Tel.: +86 20 8297 5160
Fax.: +86 20 8297 5170

Email: Atotech.KOWL-COMM@atotech.com
Local information

Chongqing

  • Sales office

Atotech (China) Chemicals Ltd.
Building 2, Zhongrun Industrial Park
No. 252, Tonghe Road
Tongliang Dist., Chongqing
China 400039

Tel.: +86 23 8519 1000
Fax.: +86 23 8519 3000

Email: Atotech.KOWL-COMM@atotech.com
Local information

Yangzhou

  • Chemistry production

Atotech (Yangzhou) Chemicals Ltd.
No.11, Hua Dian Road
Yangzhou Chemical Industry Park
Yizheng, Yangzhou, Jiangsu
China 211400

Tel.: (+86-514) 8397-4000
Fax.: (+86-514) 8397-4029

Colombia

Bogotá

Anders Colombia S.A.S.
  • Distributor Colombia

Anders Colombia S.A.S.
Calle 26 # 102-20 Oficina 303
Edificio Buro 26
110911 Bogotá
Colombia

Tel.: +57 1 7397598

Email: jose.gomez@qanders.com

Czech Republic

Jablonec nad Nisou

  • Chemistry production
  • TechCenter General Metal Finishing
  • Sales office

Atotech CZ, a.s.
Belgicka 5119
46605 Jablonec nad Nisou
Czech Republic

Tel.: +420 483 570 000

Email: jablonec@atotech.com

Ecuador

Quito

Anders Ecuador Cia. Ltda.
  • Distributor Ecuador

Anders Ecuador Cia. Ltda.
Calle N 68A De Los Aceitunos s/n.
170307 Quito
Ecuador

Tel.: +593 2 247 84 85
Fax: +593 2 247 86 49

Email: jose.gomez@qanders.com

Egypt

Cairo

Al Hoda Chemicals
  • Distributor Egypt

Al Hoda Chemicals
13 El Fardous St.
Extention of Ahmed Said St.
Abbasseya
Cairo

Tel.: +2 0127 3474 447
Tel.: +2 02 2685 5788

Email: Sales@alhodachemicals.com

France

Saint Ouen l'Aumône

  • Sales office

Atotech France
29, Avenue de l'Eguillette
ZA du Vert Galant
95310 Saint Ouen l'Aumône
France

Tel.: +33 1 34 30 20 60

Email: atotech.france@atotech.com
Local information

 

Germany

Berlin

Regional headquarters Europe
  • Research & development
  • TechCenter Electronics
  • TechCenter General metal finishing
  • Sales office

Atotech Deutschland GmbH & Co. KG
Erasmusstrasse 20
10553 Berlin
Germany

Tel.: +49 30 349 85 0
Fax.: +49 30 349 85 777

Email: info@atotech.com
Local information

Trebur

Corrosion Protection Competence Center
  • Chemistry production
  • Research & development
  • TechCenter General metal finishing

Atotech Deutschland GmbH & Co. KG
Untergasse 47
65468 Trebur
Germany

Tel.: +49 61 4750 13 0
Fax.: +49 61 4750 13 19/29

Local information

Germany

Feucht

Equipment
  • Manufacturing
  • Research & development
  • TechCenter
  • Sales office

Atotech Deutschland GmbH & Co. KG
Industriestrasse 69
90537 Feucht
Germany

Tel.: +49 9128 725 0
Fax.: +49 9128 725 424

Email: atotech.feucht@atotech.com
Local information

Germany

Neuruppin

Production
  • Chemistry production

Ahornallee 4
Industriegebiet Temnitz Park
16818 Werder/bei Neuruppin
Germany

Tel.: +49 33 920 611 16
Fax.: +49 33 920 611 19

Email: atotech.neuruppin@atotech.com
Local information

 

Greece

Thessaloniki

Hadjikypreos - Chemicals S.A.
  • Distributor Greece

Hadjikypreos – Chemicals S.A.
Electroplating Products & Equipment
Industrial Area of Thessaloniki
Block: 48B, Street: DA9
Thessaloniki
Sindos – GR 570 22

Tel.: +30 2310 797 505
Fax.: +30 2310 797 504

Email: info@hadjikypreos.gr

Hungary

Budapest

Branch office Hungary
  • Sales office

Atotech Österreich GmbH
Magyarországi Fióktelepe
H-1143 Budapest
Francia út 43.
Hungary

Tel.: +36 1 273 1484

Email: atotech.at@atotech.com

India

Gurugram

Atotech India Private Limited
(CIN No: U74999HR1996PTC033492)

  • Sales office
  • Chemistry production

Registered office:
66 KM Stone, N.H. 8, Delhi Jaipur Highway,
Village Sidhrawali, District Gurugram – 122413
Haryana, India

Tel.: +91 124 2679 620 / 621
Fax.: +91 1274 267 189

Email: atotech.hary-admin@atotech.com
Local information

Atotech Development Center Private Limited
(CIN: U73100HR2015FTC057006)

  • Research & development
  • TechCenter Electronics
  • TechCenter General metal finishing

Sales/corporate office:
Plot No. 446 - G & H, Sector-8,
IMT Manesar Gurugram-122050,
Haryana, India

Tel.: +91 124 6447900

Pune

  • Sales office

Atotech India Private Limited
128/2, Sanghavi Complex, Telco Road
Chinchwad, Pune, Maharashtra - 411019
India

Tel.: +91 20 274 416 01 / 02
Fax: +91 20 274 416 03

Ludhiana

  • Sales office

Atotech India Private Limited
First Floor, Zoom Building
Property No. B-XXIII, 2581/1, R.K. Road
Industrial area – A, Ludhiana
Punjab - 141003
India

Tel.: +91 161 4640 192
Fax.: +91 161 4640 192

India

Bengaluru

  • Customer support lab

Atotech Development Center Private Limited
74/B, West Phase
Electronic city Phase 1
Near Y SEC IT Software
Bengaluru 560100
Karnataka, India

Tel.: +91 8110 419 000
Fax.: +91 8110 419 020

Email: atotech.bang-admin@atotech.com
Local information

Mumbai

  • Sales office

Atotech India Private Limited
UNIT No. 209, Krishna Commercial Center 6
Udyog Nagar, Off S. V. Road, Goregaon West
Mumbai, Maharashtra - 400062
India

Tel.: +91 22 2878 3400
Fax.: +91 22 2878 8278

Chennai

  • Sales office

Atotech India Private Limited
303, SIDCO AIEMA Tower, 1st Main Road
Ambattur Industrial Estate
Chennai - 600 058
Tamil Nadu
India

Tel.: +91 44 4852 8963 / +91 96 000 71 757

Indonesia

Jakarta

  • Sales office

PT. Atotech Indonesia Chemicals
The Suite Tower level 7 – Union Space
Jkt outer Ring Road No. 1, RW.2
Kamal Muara, Kec. Penjaringan
Jakarta Utara 14470
Indonesia

Tel.: +62-21 30420687
Fax.: +62-21 30420688

Email: Atotech.ATT-Singapore-CSE@atotech.com
Local information

Israel

Akko

Global Environment Solutions LTD
  • Distributor Israel

Global Environment Solutions LTD
Industrial Zone
Po Box 2408
24123 Akko
Israel

Tel.: +972 4 98 76 107
Fax.: +972 4 98 76 133

Email: RikaB@ges.co.il

Italy

Milan

Main office
  • TechCenter General metal finishing
  • Sales office

Atotech Italia S.r.l.
Via Lecco, 6
20045 Lainate (MI)
Italy

Tel.: +39 02 933 021
Fax.: +39 02 933 021 99

Email: atotech.italia@atotech.com
Local information

Italy

Cluj-Napoca

  • Sales office

Atotech Sucursala Cluj Napoca
400117 Cluj
Romania

Phone: +40 736 639825

Email: atotech.romania@atotech.com

Japan

Yokohama

Regional headquarters FarEast
  • TechCenter Electronics
  • TechCenter General metal finishing

Atotech Japan K.K.
German Industry Park
1-18-2 Hakusan
Midori-ku, Yokohama
Kanagawa 226-0006
Japan

Tel.: +81 45 937 6116
Fax.: +81 45 937 6117

Email: japan@atotech.com
Local information

Koda

  • Chemistry production

Atotech Japan K.K.
1-6 Shimo-Ohbasan
Mutsuguri, Koda-cho
Nukata-gun, Aichi 444-0122
Japan

Tel.: +81 564 62 14 15
Fax.: +81 564 56 90 00

Email: japan@atotech.com
Local information

Japan

横浜

アジア拠点
  • TechCenter Electronics
  • TechCenter General metal finishing

アトテックジャパン株式会社
226-0006
神奈川県横浜市緑区白山1-18-2
ジャーマンインダストリーパーク

Tel.: +81 45 937 6116
Fax.: +81 45 937 6117

Email: japan@atotech.com
Local information

幸田町

  • 薬品製造工場

アトテックジャパン株式会社
444-0122
愛知県額田郡幸田町六栗下大迫1-6

Tel.: +81 564 62 14 15
Fax.: +81 564 56 90 00

Email: japan@atotech.com
Local information

Korea

Jangan

  • TechCenter Electronics
  • TechCenter General metal finishing
  • Chemistry production

Atotech Korea Ltd.
37, Jangangongdan 1-gil, Jangan-myeon,
Hwaseong-si, Gyeonggi-do, ZIP: 18579
Korea

Tel.: +82 31 359 3000
Fax.: +82 31 351 8557

Email: info-korea@atotech.com
Local information

Seoul

  • Sales office

Atotech Korea Ltd.
7F Dongsung building, 21 Gukhoe-Daero 62-Gil,
Yeongdeungpo-Gu, Seoul, ZIP: 07236
Korea

Tel.: +82 2 550 7200
Fax.: +82 2 561 0015

Email: info-korea@atotech.com

Busan

  • Sales office

Atotech Korea Ltd.
#403 Busan Cheongjeongdogeum Center,
20 Noksansandan 382-ro 14gil,
Gangseo-gu, Busan, ZIP: 46757
Korea

Tel.: +82 51 973 0510
Fax.: +82 51 973 1579

Email: info-korea@atotech.com

Korea

장안

  • TechCenter Electronics
  • TechCenter General metal finishing
  • Chemistry production

Atotech Korea Ltd.
경기도 화성시 장안면 장안공단 1길 37
(zip: 18579)

Tel.: +82 31 359 3000
Fax.: +82 31 351 8557

Email: info-korea@atotech.com
Local information

서울

  • Sales office

Atotech Korea Ltd.
서울시 영등포구 국회대로 62길 21
동성빌딩 7층 (ZIP: 07236)

Tel.: +82 2 550 7200
Fax.: +82 2 561 0015

Email: info-korea@atotech.com

부산

  • Sales office

Atotech Korea Ltd.
부산시 강서구 녹산산단 382로 14번가길 20
(송정동), 부산 청정도금센터 403호 (zip:46757)

Tel.: +82 51 973 0510
Fax.: +82 51 973 1579

Email: info-korea@atotech.com

Lithuania

Vilnius

  • Sales office

UAB Atotech-Chemeta
Konstitucijos pr. 21A
LT-08130 Vilnius
Lietuva

Tel.: +370 5 272 93 94
Local information

Generalinis direktorius

Gražina Kontrimavičiūtė
Tel.: + 370 5 272 93 94
El.paštas: Grazina.Kontrimaviciute@atotech.com

Lithuania

Verslo plėtros direktorius

Dr. Jaroslaw Rozwadowski
Tel.: + 48 61 662 27 35
El.paštas: Jaroslaw.Rozwadowski@atotech.com

Pardavimų vadovas

Juozas Daukšas
Tel.: + 370 5 272 93 94
El.paštas: Juozas.Daukshas@atotech.com

Malaysia

Penang

  • Chemistry production

Atotech Malaysia Sdn. Bhd.
1182, Lorong Perindustrian Bukit Minyak 22
Taman Perindustrian Bukit Minyak
14100 Simpang Ampat
Pulau Pinang

Malaysia

Tel.: +60 4 506 9800
Fax.: +60 4 506 2280

Email: Atotech.PNNG-customerservice@atotech.com

Malaysia

Selangor

  • Sales office

Atotech Malaysia Sdn. Bhd.
Lot 6.03A, Level 6, 1 Tech Park
Tanjung Bandar Utama
Bandar Utama, 47800 Petaling Jaya
Selangor Darul Ehsan

Malaysia

Tel.: +60 3 7732 3070

Email: Atotech.PNNG-customerservice@atotech.com

Mexico

Querétaro

  • Chemistry production
  • Sales office

Atotech de México S.A. de C.V.
Carretera Estatal 100
No. 4200 Lote 33/34, Interior 4-H/4-G
Parque Industrial Aeropuerto San Ildefonso
Colón, Querétaro

México, C.P. 76295

Tel.: +52 4422 9588 62

Mexico

Business Development Manager
Norberto Pineda Salinas
Email: norberto.pineda@atotech.com
Tel. (cell): +52 1 55 8580 7816

Sales Manager
José Alberto Benedito Morant
Email: alberto.benedito@atotech.com
Tel. (cell): +52 1 55 2272 0507

Peru

Lima

Anders Peru S.A.C.
  • Distributor Peru

Anders Peru S.A.C.
JR. PASEO DEL BOSQUE Nro. 500, Int. 301
URB. CHACARILLA DEL ESTANQUE
SAN BORJA, 15037 LIMA
Peru

Tel.: +51 1 615 86 00
Fax.: +51 1 615 86 10

Email: horst.eichhorn@qanders.com

Philippines

Muntinlupa City

  • Sales office

Atotech (Philippines) Chemicals, Inc.
401-402 B2 L7
CTP Alpha Building
Investment Drive
Madrigal Business Park
Alabang, Muntinlupa City
Philippines, 1780

Tel.: +63 2 834 0100
Fax.: +63 2 833 2282

Email: singapore-cse@atotech.com
Local information

Poland

Poznań

  • Sales office

Atotech Poland Sp.z o.o.
Ul. Marcelińska 92/94
60-324 Poznań
Poland

Tel.: +48 61 662 27 35
Fax.: +48 61 662 19 02

Email: atotech.pozn-office@atotech.com
Local information

Managing Director

Jarosław Rozwadowski
Email: jaroslaw.rozwadowski@atotech.com

Poland

Deputy Business Director, Business Manager GMF, Electronics

Rafał Janowicz
Tel.: +48 506 176 303
Email: rafal.janowicz@atotech.com

Przedstawiciel Techniczno-Handlowy GMF

(Klasyczna galwanotechnika – Procesy antykorozyjne, cynk lamelarny, nikiel chemiczny, chrom techniczny)

Magdalena Gortat
PMM CRC/ZF
Tel.: + 48 506 368 167
Email: magdalena.gortat@atotech.com

Przedstawiciel Techniczno-Handlowy GMF

(Klasyczna galwanotechnika - Technologie dekoracyjne, pokrywanie plastiku)

Jacek Chomiuk
PMM DECO/POP
Tel.: + 48 506 176 294
Email: jacek.chomiuk@atotech.com

Przedstawiciel Techniczno-Handlowy PST

(Technologie wspierające procesy malowania- przygotowanie powierzchni, stripowanie farby)

Tomasz Ilski
Tel.: +48 512 368 712
Email: tomasz.ilski@atotech.com

Przedstawiciel Techniczno-Handlowy Electronika

(PCB – Produkcja płytek obwodów drukowanych)

Michał Zaczek
Tel.: +48 61 662 27 35
Email: michal.zaczek@atotech.com

Portugal

Aveiro

  • Sales office

Atotech España S.A.U.
Sucursal em Portugal
Av. Europa, nº 473 C
3800 – 228 Aveiro
Portugal

Tel.: +351 234 729 800

Email: atotech.es@atotech.com
Local information

Spain

Erandio

Main office
  • Sales office

Atotech España S.A.U.
Sociedad Unipersonal
Apartado 156 - 48950 Erandio
Ribera de Axpe, 39
48950 Erandio - Bizkaia
España

Tel.: +34 944 8030 55 / 00
Fax.: +34 944 8030 21 / 12

Email: atotech.es@atotech.com
Local information

Spain

Barcelona

Delegación Cataluña and Valencia
  • Sales office

Atotech España S.A.U.
Sociedad Unipersonal
Parc Tecnològic del Vallès
Ronda Can Fatjó, 8
08290 Cerdanyola del Vallès (Barcelona)
España

Tel.: +34 93 680 11 77
Fax.: +34 93 680 00 22

Email: atotech.es@atotech.com
Local information

Portugal

Aveiro

Sociedad Unipersonal/Sucursal em Portugal
  • Sales office

Atotech España S.A
Sociedad Unipersonal
Sucursal em Portugal
Avda. Europa, Nr. 473 - C
3800 - 228 Aveiro
Portugal

Tel.: +351 234 729 800

Email: atotech.es@atotech.com
Local information

Romania

Cluj Napoca

Sucursala Italia
  • Sales office

Calea Dorobantilor Nr. 14-16
400117 - Cluj City Center, office 003
Romania

Tel.: +40 37106 4033
Fax.: +40 37289 3814

Email: atotech.romania@atotech.com

Scandinavia

Norrköping

  • Sales office

Atotech Skandinavien AB
Slottsgatan 33
Box 5, SE-60102 Norrköping
Sweden

Tel.: +46 11 36 11 00
Fax.: +46 11 10 01 62

Email: sales.scan@atotech.com

Singapore

Singapore

  • FEC competence center
  • TechCenter Electronics
  • Sales office

Atotech (Singapore) Chemicals Pte. Ltd.
8 Buroh Street
Unit #03-01
Surface Engineering Hub
Singapore 627563

Tel.: +65 6862 26 18
Fax.: +65 6862 15 06

Email: singapore-cse@atotech.com
Please send your job application to:
Atotech.SPOR-HRD@atotech.com
Local information

Slovakia

Banská Bystrica

  • Sales office

Atotech SK, s.r.o.
J. Chalupku 8
974 01 Banská Bystrica
Slovakia

Tel.: +421 484 700 162 164
Fax.: +421 484 700 161

Email: bystrica@atotech.com

Slovenija

Podnart

  • Chemistry production

Atotech Slovenija d.d.
Podnart 43
4244 Podnart
Slovenija

Tel.: +386 4 537 60 00

Email: Atotech.PODN-INFO@atotech.com

Informacija za javnost april 2024

Spain

Erandio

Main office
  • Sales office

Atotech España S.A.U.
Sociedad Unipersonal
Apartado 156 - 48950 Erandio
Ribera de Axpe, 39
48950 Erandio - Bizkaia
España

Tel.: +34 944 8030 55 / 00
Fax.: +34 944 8030 21 / 12

Email: atotech.es@atotech.com
Local information

Spain

Barcelona

Delegación Cataluña and Valencia
  • Sales office

Atotech España S.A.U.
Sociedad Unipersonal
Parc Tecnològic del Vallès
Ronda Can Fatjó, 8
08290 Cerdanyola del Vallès (Barcelona)
España

Tel.: +34 93 680 11 77
Fax.: +34 93 680 00 22

Email: atotech.es@atotech.com
Local information

Portugal

Aveiro

Sociedad Unipersonal/Sucursal em Portugal
  • Sales office

Atotech España S.A
Sociedad Unipersonal
Sucursal em Portugal
Avda. Europa, Nr. 473 - C
3800 - 228 Aveiro
Portugal

Tel.: +351 234 729 800

Email: atotech.es@atotech.com
Local information

Switzerland

Courgenay

  • Sales office

Atotech Deutschland GmbH & Co. KG
Zweigniederlassung Courgenay
Vertrieb Schweiz
L'Armeratte 2
CH - 2950 Courgenay
Switzerland

Tel.: +41 32 471 31 19
Fax.: +41 32 566 13 29

Email: info.schweiz@atotech.com

Taiwan

Taipei

Main office
  • Sales office

Atotech Taiwan Limited
4F., No. 285
Sec. 3 Nanjing E. Rd.
Taipei 10550
Taiwan

Tel.: +886 2 2717 6868
Fax.: +886 2 2713 2732

Local information

Taoyuan

  • Sales office

Atotech Taiwan Limited
5F., No. 15
Jingguo Rd.
Taoyuan City 33050
Taiwan

Tel.: +886 3 356 2468
Fax.: +886 3 357 2585

Local information

Taiwan

Kaohsiung

  • Customer support lab

Atotech Taiwan Limited
4F., No. 47, Dazhong 2nd Rd.
Zuoying Dist., Kaohsiung City 813022
Taiwan

高雄市左營區大中二路47號4樓

Tel.: +886 7 343 2876
Fax.: +886 7 343 2898

Local information

Key Contacts / 主要聯絡人

金屬表面處理 GMF
李德芬 (Hannah Lee)
Phone: +886 2 2717 6868 #303
Email: Hannah.Lee@atotech.com

電子事業部 EL
李憶婷 (Bennia Lee)
Phone: +886 3 356 2468 # 501
Email: Bennia.Lee@atotech.com

觀音研發中心 Guanyin ATC
謝廷偉 (Casper Hsieh)
Phone: +886 3 438 9788 # 601
Email: Casper.Hsieh@atotech.com

半導體 SC
陳彥合 (Bobby Chen)
Phone: +886 3 356 2468 # 950
Email: Bobby.Chen@atotech.com

高雄研發中心Kaohsiung ATC
龔嫊婷 (Tina Kung)
Phone: +886 7 343 2876 # 701
Email: Tina.Kung@atotech.com

環安衛HES
郭宜慧 (Rosa Kuo)
Phone: +886 3 438 9788 # 881
Email: Rosa.Kuo@atotech.com

人資行政HR
陳雅莉 (Alice Chen)
Phone: +886 2 2717 6868 # 113
Email: Alice.Chen@atotech.com

Taiwan

Guanyin

  • TechCenter Electronics
  • Chemistry production

Atotech Taiwan Limited
11, Jingjian 2nd Rd.
Guanyin Industrial Park
Taoyuan City 32853
Taiwan

Tel.: +886 3 438 9788
Fax.: +886 3 438 9792

Local information

Thailand

Bangkok

  • Sales office

Atotech (Thailand) Co., Ltd.
11th Floor, 1 TP&T Tower
Soi 19, Vibhavadee Rungsit Road
Chatuchak, Chatuchak, Bangkok 10900
Thailand

Tel.: +66 293 618 73
Fax.: +66 293 618 76

Email: thomas.beck@atotech.com

The Netherlands

De Meern

Main office

Atotech B.V.
Strijkviertel 35-2
3454 PJ De Meern
The Netherlands

Tel.: +31 30 240 90 10

Email: sales.nl@atotech.com

The Netherlands

Sofia

Branch office Bulgaria

Atotech B.V. (Nederland)
Zk Drujba Bl. 223-B-46
1582 Sofia
Bulgaria

Tel.: +359 886 816 816
Fax.: +359 888 634 149

Email: elitsapetrova@atotech-bg.com

Turkey

Istanbul

  • Sales office
  • Customer service lab

Atotech İstanbul Kimya Sanayi Tic. Ltd. Şti.
Barbaros Mah.
Nesime Hanım Sok. No:4
34746. Ataşehir - İstanbul
Turkey

Tel.: +90216 593 23 90
Fax.: +90216 593 23 98

Email: kansav.atila@atotech.com

Turkey

Izmir

  • Sales office
  • Customer service lab

Atotech İstanbul Kimya Sanayi Tic. Ltd. Şti.
Halkapınar Mah.
1203/12 Sok. No: 2/1.
35170. Konak - İzmir
Turkey

Tel.: +90 232 435 92 93
Fax.: +90 232 435 95 99

Email: kansav.atila@atotech.com

Ukraine

Kiev

GALVANOMAYSTER Ltd.
  • Distributor Ukraine

GALVANOMAYSTER Ltd.
Mr. Volodymyr Baranovskyi
Yevhen Sverstiuk Str. 11
02660 Kiev
Ukraine

Tel.: +38 044 516 86 90
Fax.: +38 044 517 16 13

Email: v.baranovskyi@galvanomayster.kiev.ua

United Kingdom

West Bromwich

  • Sales office

Atotech UK Ltd.
William Street, West Bromwich
West Midlands B70 0BG
United Kingdom

Tel.: +44 121 606 77 77
Fax.: +44 121 606 72 00

Email: sales.uk@atotech.com
Local information

UAE

Ajman

Al Taher Chemicals
  • Distributor U.A.E.

Al Taher Chemicals Trading LLC
Near Lucky R/A
Industrial Area-2
P.O. Box – 18912
Ajman
U.A.E.

Tel.: +971 6 7482593

Email: info@altaherchemicals.com

USA

Rock Hill

Regional headquarters
  • Chemistry production
  • TechCenter Electronics
  • TechCenter General metal finishing

Atotech USA, LLC
1750 Overview Drive
Rock Hill, SC 29730
USA

Tel.: +1 803 817 3500
Fax.: +1 803 817 3602

Email: usainfo@atotech.com
Local information

USA

Detroit

  • Customer analytics laboratory
  • Warehouse

Atotech USA, LLC
35840 Beattie Drive,
Sterling Heights, Michigan 48312
USA

Tel.: 1-800-PLATING

Email: usainfo@atotech.com
Local information

Vietnam

Ho Chi Minh City

Main office
  • Sales office
  • Customer service lab

Atotech Vietnam Co., Ltd.
5F Hai Au Building
39B Truong Son Street, Ward 4
Tan Binh District
Ho Chi Minh City
Vietnam

Tel.: +84 8 6296 1670
Fax.: +84 8 6296 1675

Vietnam

Hanoi

  • Sales office
  • Customer service lab

Atotech Vietnam Co., Ltd.
Floor 2, VPI Building
Trung Kinh Street, Yen Hoa Ward
Cau Giay District
Hanoi City
Vietnam

Tel.: +84 4 3768 7618
Fax.: +84 4 3768 7619






    By entering your email address, you agree that we will answer your request via email.






      By entering your email address, you agree that we will answer your request via email.