최고의 성능과 생산성을 위한

선도적인 수평 생산 장비

제품 포트폴리오

아토텍의 고유한 시스템적 접근 방식

인쇄 회로판(HDI/MLB 및 Flex/Flex-rigid 보드), 패키지 기판, 반도체 애플리케이션용 인라인 처리

간략한 정보

  • 고유한 시스템적 접근 방식: 약품, 장비, 공정 노하우, 서비스 및 예비 부품 공급
  • 선도적인 지속 가능한 솔루션
  • 독일과 중국에 생산 시설 2곳 존재
  • 독일 시설의 클린룸 생산 능력

애플리케이션

  • 인쇄 회로판(HDI, MLB, Flex/Flex-rigid 기판)
  • IC 기판
  • 반도체
  • 고급 패키징
  • 평판 패널 디스플레이

제품 포트폴리오

과망간산염 디스미어

 

아토텍의 다목적 디스미어 장비 Uniplate® P 는 다중 레이어부터 한층 두꺼운 기판, HDI 기판, SAP(Semi-Additive Process)용 베어 적층(bare laminates)에 이르는 다양한 기판의 처리 속도를 높입니다. 이를 통해 이용 가능한 거의 모든 기판(화학적 비저항성 기판 및 아크릴 접착제가 포함된 소재 제외)에서 최상의 결과를 제공합니다. 380개 이상의 Uniplate® P 라인이 mSAP/amSAP과 같은 고급 애플리케이션용 MLB, HDI, IC 기판의 대량 생산을 목적으로 설치되었습니다. 이 제품은 구리 피복이 최소 25µm + 2×2µm에 이르는 가장 얇은 PBS 기판을 위한 선도적인 운송 능력을 제공합니다.

아토텍의Uniplate® P 는 오랜 성공의 역사를 자랑합니다. 아토텍은 이를 기반으로 기존 Uniplate® PLB 플랫폼의 모든 이점과 장점을 통합한 차세대 “New Uniplate” 설계를 개발했습니다. 또한 ‘New Uniplate’는 설치 공간 감소, 유지보수 개선, 사용자 편의성 향상, 소비 에너지 절감, 새로운 펌프 기술, 지속 가능한 공정 제어에 있어 발전 사항과 이점을 제공합니다. 기술 요건에 따라 입자 방지 및 입자 감소를 위한 정교한 솔루션을 다양하게 추가하여 미세 라인 기능을 개선했습니다

 

장비 주요 정보:

  • 고품질 스테인리스강 스웰러(sweller) 및 과망간산염 모듈이 공정 안정성을 극대화하고 적은 유지보수 필요성을 보장합니다.
  • 스웰러 및 과망간산염을 위한 통합 스테인리스강 필터링 시스템입니다.
  • 자원 절약을 목적으로 설계 – 안정적인 공정 매개변수(특허받은 과망간산염 재생용 Oxamat® 포함)를 사용하며 정교한 세정 컨셉을 도입한 연속 생산용 고효율 화학 재생 시스템입니다.
  • FAB 4.0용으로 준비한 생산 데이터의 스마트한 사용과 높은 수준의 자동화 및 공정 제어를 한데 모았습니다.
  • 특허받은 플러드 바(flood bar) 기술을 통해 강력한 공정 성능을 제공합니다.

 

 

Polygon P 라인은 다중 레이어, Rigid-flex 및 HDI 패널 가공의 높은 신뢰성과 까다로운 성능 요구를 충족해야 하는 인쇄 회로판 제조업체를 위한 아토텍의 새로운 디스미어 장비입니다. 새로운 수평 라인은 최대 3.5m/min의 라인 속도를 자랑하며 24” 및 28,5”의 서로 다른 운송 시스템에서 사용할 수 있습니다. Polygon Line 시리즈는 생산에 중요한 모든 특성을 갖추었으며, 이러한 특성을 매우 안정적이고 비용 효율적인 대량 생산 시스템에 적용합니다.

장비 주요 정보:

  • 물과 약품의 소비를 줄이기 위한 기술을 장착했습니다(예: 과망간산염 재생을 위한 특허받은 Oxamat, 캡슐화된 모듈, 최소 도금조 부피, 다단계 캐스케이드 세정 및 콘덴서 장치).
  • 스웰러 및 과망간산염용 초음파 장치로 강력한 디스미어 성능을 제공합니다.
  • 라인은 증기 또는 온수 가열이 가능하므로 고객 현장의 기존 열원을 사용하여 전기 에너지 소비량을 절약할 수 있습니다.
  • 특허받은 플러드 바 기술을 통해 강력한 공정 성능을 제공합니다.

무전해 구리 공정

 

선도적인 수평 무전해 구리 공정 라인인 Uniplate® LB, 는 기존 수평 스루홀 금속화의 세계 표준입니다. 시스템 솔루션은 매우 다양한 보드 두께와 크기에 사용할 수 있습니다. 330개 이상의 Uniplate® LB 라인이 mSAP/amSAP과 같은 고급 애플리케이션용 MLB, HDI, IC 기판의 대량 생산을 목적으로 설치되었습니다. 이 제품은 구리 피복이 최소 25µm + 2×2µm에 이르는 가장 얇은 PBS 보드를 위한 선도적인 운송 능력을 제공합니다.

아토텍의 Uniplate® LB 는 오랜 성공의 역사를 자랑합니다. 아토텍은 이를 기반으로 기존 Uniplate® PLB 플랫폼의 모든 이점과 장점을 통합한 차세대 “New Uniplate” 설계를 개발했습니다. 또한 ‘New Uniplate’는 설치 공간 감소, 유지보수 개선, 사용자 편의성 향상, 소비 에너지 절감, 새로운 펌프 기술, 지속 가능한 공정 제어에 있어 발전 사항과 이점을 제공합니다. 기술 요건에 따라 입자 방지 및 입자 감소를 위한 정교한 솔루션을 다양하게 추가하여 미세 라인 기능을 개선했습니다.

 

장비 주요 정보:

  • 특허받은 플러드바 기술을 통한 우수한 스루홀 금속화를 제공합니다.
  • 효과적인 유체 제어 및 효율적인 에너지 소비를 위한 고효율 펌프 회로를 갖추고 있습니다.
  • FAB 4.0용으로 준비한 생산 데이터의 스마트한 사용과 높은 수준의 자동화 및 공정 제어를 한데 모았습니다.
  • 특정 공정 단계에서 최적의 성능을 제공하는 화학 분석 시스템입니다.

 

 

Polygon LB라인은 다중 레이어, Rigid-flex 및 HDI 패널 가공의 높은 신뢰성과 높은 성능 요구를 충족해야 하는 인쇄 회로판 제조업체를 위한 아토텍의 새로운 무전해 구리 장비입니다. 새로운 수평 라인은 최대 3.5m/min의 라인 속도를 자랑하며 24” 및 28,5”의 서로 다른 운송 시스템에서 사용할 수 있습니다. Polygon Line 시리즈는 생산에 중요한 모든 특성을 갖추었으며, 이러한 특성을 매우 안정적이고 비용 효율적인 대량 생산 시스템에 적용합니다.

 

장비 주요 정보:

  • 아토텍의 특허받은 플러드 바 기술을 통해 TH 및 BMW에서 최첨단 균일 전착성을 선사합니다.
  • 자동 컵 주입 시스템을 통해 안정적이고 유지보수가 용이한 솔루션을 제공합니다.
  • 라인은 증기 또는 온수 가열이 가능하므로 고객 현장의 기존 열원을 사용하여 전기 에너지 소비량을 절약할 수 있습니다.
  • 최상의 커버리지와 신뢰할 수 있는 세척 성능을 자랑하는 반자동 세척 기능을 갖추고 있습니다.

직접 도금

 

Uniplate® NP 는 Neopact 직접 도금 공정용으로 설계되었으며 테플론을 포함한 모든 기판에 사용하기 적합합니다.

The Uniplate® CP 는 HDI, MLB 및 Flex/Flex-rigid 생산을 위한 아토텍의 Ecopact 전도성 폴리머 직접 도금 공정과 함께 사용할 수 있는 수평 컨베이어 생산 시스템입니다. 이 라인은 다양한 기판과 호환됩니다.

 

장비 주요 정보:

  • 선택적 공정으로, BMV 및 직접 패턴 도금이 가능합니다.
  • 특허받은 플러드바 기술을 통한 우수한 스루홀 금속화를 제공합니다.
  • 효과적인 유체 제어 및 효율적인 에너지 소비를 위한 고효율 펌프 회로를 갖추고 있습니다.
  • FAB 4.0용으로 준비한 생산 데이터의 스마트한 사용과 높은 수준의 자동화 및 공정 제어를 한데 모았습니다.
  • 설치 공간이 적게 필요합니다

 

 

 

수평 전해 구리 공정

 

1987년 출시 이후, MKS 아토텍은 930대 이상의 도금 장비를 판매했습니다. DC에서 InPulse1을 거쳐 현재의 Uniplate® Cu InPulse2 (Ip2)로 꾸준히 개선된 아토텍의 수평 도금 장비는 스루홀 충전(THF), 블라인드 마이크로비아 충진, 컨포멀 도금 등 다양한 애플리케이션에서 하이엔드 대량 제품을 생산하는 최첨단 기술입니다.

 

장비 주요 정보:

  • 전류를 고르게 분배하기 위한 펄스 정류기와 주파수 제어 고전류 밀도로 표면 품질과 균일성을 향상합니다.
  • 불용성 양극으로 기하학적 구조를 개선합니다.
  • 인라인 필터링으로 입자를 줄입니다.
  • FAB 4.0용으로 준비한 생산 데이터의 스마트한 사용과 높은 수준의 자동화 및 공정 제어를 한데 모았습니다.

수직 전해 구리 공정

 

아토텍의 새로운 도금 솔루션인 vPlate® 는 mSAP 또는 SAP 등 고급 제조 기술을 사용하여 고객에게 최상의 결과를 제공하며, 표준 다중 레이어 및 HDI부터 고급 HDI, Rigid-flex, IC 기판 등 다양한 PCB 유형에도 적용할 수 있습니다. 조정 가능한 양극 및 음극 차폐를 사용하는 불용성 분할 양극 컨셉을 활용하여 ±10%의 균일성을 달성할 수 있습니다. 얇은 패널(최소 36µm + 2×2 구리 피복)의 터치프리 운송을 통해 수직 연속 구리 도금에 대한 오늘날 시장 요건을 모두 충족합니다.

 

장비 주요 정보:

  • 불용성 분할 양극을 통한 고급 도금 기능을 제공합니다.
  • 조정 가능한 양극과 음극 차폐를 통해 최고의 균일성을 선사합니다.
  • 최소 36µm + 2×2 구리 피복에 이르는 얇은 패널 운송 기능을 자랑합니다.
  • 라인 레이아웃에 대한 유연성 – 고객의 공간 가용성 및 기술 사양에 따라 조정이 가능합니다.
  • 자동 구리 및 약품 보충을 포함한 완전 자동 취급으로 작업자 친화적입니다.
  • 특수 모터와 최신 캐스케이드(cascade) 세정

기판 처리/내부 레이어 본딩

 

아토텍 Horizon® Bondfilm ® 제품군은 본딩 강화 및 표면 처리를 위한 통합 생산 솔루션입니다. 이 솔루션은 내부 레이어 본딩을 개선하는 아토텍의 지능적이고 비용 효율적인 솔루션인 Horizon® Bondfilm ® 그리고 레이저 다이렉트 드릴링을 적용하기 전, 표면의 CO2 레이저 흡수율을 최대 신뢰성으로 개선하는 Horizon® Bondfilm ®LDD 로 구성됩니다.
이 솔루션은 화학 처리, 얇은 소재 운반 및 고급 유체 전달 분야에 아토텍의 최신 기술 패키지를 제공합니다.

 

장비 주요 정보:

  • 자동 배출 장치가 있습니다.
  • 효율성이 우수하고 최적화된 펌프 회로를 갖춘 첨단 캐스케이드 세정 기술이 적용되어 있습니다.
  • 완전 자동화된 패널 추적 제어를 제공합니다.
  • FAB 4.0용으로 준비한 생산 데이터의 스마트한 사용과 높은 수준의 자동화 및 공정 제어를 한데 모았습니다.
  • 분석기 모니터링 기술 및 주입 시스템의 완전 자동 제어와 함께 사용할 수 있습니다.
  • 특허받은 플러드 바 기술을 통해 강력한 공정 성능을 제공합니다.

 

 

 

 

아토텍의 새로운 Polygon ST Line ®은 인쇄 회로판 생산 중 솔더마스크와 드라이 필름 처리에 대한 최신 요건을 충족하도록 설계된 혁신적인 수평 시스템입니다. 이 새로운 아토텍 장비는 대량 생산에 최적화된 솔루션으로, 패널 전반에 걸쳐 최대의 생산량과 동급 최고의 균일성을 제공합니다. 전반적으로 뛰어난 성능을 보이는 이 새로운 아토텍 시스템은 아토텍의 CupraEtch® 공정 약품 시리즈와 완벽하게 호환되며, 약품, 장비, 소프트웨어, 서비스를 결합한 최첨단 솔루션 기반 패키지를 제공합니다.

 

장비 주요 정보:

  • 최대 4.0m/min의 라인 속도와 24,8” 폭의 PCB를 갖춘 대량 생산 시스템입니다.
  • 효율성이 우수하고 최적화된 펌프 회로를 갖춘 첨단 캐스케이드 세정 기술이 적용되어 있습니다.
  • 손쉬운 조정 및 제어 기능을 갖춘 스프레이 바 진동으로 90% 이상의 최적화된 에칭 균일성을 자랑합니다.
  • 멀티존 건조기 구성으로 효과적인 건조 성능을 제공합니다.
  • 아토텍의 미세 L/S 생산용 고흐름 필터 시스템입니다.
  • 패널 추적 및 컵 주입 시스템을 통해 주입 매개변수를 정밀하게 제어할 수 있습니다.

 

 

 

최종 마감 공정

 

Stannatech® 최첨단 주석 증착 기술은 인쇄 회로판에 대한 가장 얇은 주석 증착 분야에서 세계 표준을 확립하여 모든 주요 자동차 제조업체에서 검증받은 소수의 표면 마감 시스템 중 하나입니다. 아토텍의 고유한  Crystallizer™ConStannic™ 제어와 조합하면 무팔라듐 솔더링 및 프레스 핏 기술용 침지 주석에 완벽하게 적합한 시스템이 됩니다. Stannatech® 는 시장 내 최고의 효율 및 공정 신뢰성을 달성합니다.

 

장비 주요 정보:

  • FAB 4.0용으로 준비한 생산 데이터의 스마트한 사용과 높은 수준의 자동화 및 공정 제어를 한데 모았습니다.
  • ConStannic™ 및 Crystallizer™ 보조 장비를 통해 수명이 연장되고 적용된 약품의 효율성이 증대되어, 유량증감 공정이 필요없습니다.
  • 온수 가열 시스템과 함께 사용할 수 있습니다.
  • 선도적인 운송 시스템이 소형 패널 조각의 병렬 운송을 지원합니다.
  • 특허받은 플러드 바 기술을 통해 강력한 공정 성능을 제공합니다.

 

 

 

반도체 및 고급 패키징 산업용 전해 도금

 

MultiPlate® 는 고급 패키징 애플리케이션에서 현재와 미래의 과제를 해결하여 최적의 성능을 제공하고자 설계된 혁신적인 ECD 도금 시스템입니다.
핵심 기술은 전류 밀도, 유체 흐름, 펄스 도금 매개변수 등 각 기판 측면별 선택적 개별 공정 제어를 통해 높은 도금 속도에서 우수한 표면 분포율을 구현하는 전면 및 후면 동시 도금 능력입니다.
고급 패키징 애플리케이션의 경우 MultiPlate®는 웨이퍼 및 패널 수준 패키징 애플리케이션을 위한 원형 및 사각형 기판을 제공합니다.

자세히 알아보기

 

 

 

디스플레이용 무전해 금속화

 

MKS 아토텍은 수평 습식 화학 무전해 구리 시스템에 대한 수십 년간의 경험을 바탕으로 CupraTech® FPD 공정과 VisioPlate®로 구성된 새로운 고속 무전해 구리 시스템을 개발했습니다. 평판 패널 디스플레이 업계의 차세대 제품 요건에 맞춘 제품입니다.

 

The VisioPlate® 도구 기능:

  • 최대 gen8 크기의 얇은 대형 유리 기판에 대한 안정적인 운송이 가능합니다.
  • 최대 2µm의 무전해 구리 레이어에 대해 라인 속도를 조정할 수 있습니다.
  • 입자 방지를 위한 특수 기능을 갖추고 있습니다.

 

서비스 및 예비 부품

장비 및 화학 솔루션 수명 주기의 모든 단계에 걸쳐 독보적인 고객 만족도를 획득하는 것은 기술 및 제품에 대한 초기 관심에서 고성능 제품 및 제조 공정을 보장하기 위한 설치 및 서비스에 이르는 아토텍의 주요 목표 중 하나입니다. 따라서 최종 제품에 들어가는 모든 구성 요소의 품질과 신뢰성은 선도적인 기술과 오래 가는 고성능 시스템 솔루션을 제공하겠다는 아토텍의 약속을 이행하는 데 매우 중요합니다.

오늘날 전 세계에서 1,200개 이상의 생산 라인이 가동 중인 가운데, 아토텍은 예비 부품과 서비스를 완벽하게 제공해 왔습니다. 오늘날 아토텍은 Uniplate®, Horizon®, MultiPlate®, VisioPlate®, 그리고 가장 최근 포트폴리오에 추가된 Polygon®과 vPlate®의 모든 장비 제품군에 대해 주요 구성 요소의 순정 부품을 제공합니다.


고객의 이점:

  • 아토텍의 순정 예비 부품은 최고 품질 및 신뢰성 요건을 충족하여 최상의 공정 성능을 제공합니다.
  • 최대 장비 가용성을 보장합니다.
  • 장비, 공정 화학 물질 및 서비스(설치, 생산 지원, 검사 및 예비 부품)로 구성된 시스템을 제공합니다.
  • 소유 비용의 경쟁력이 높습니다.
  • 아토텍 장비의 수명이 연장됩니다..
  • 전 세계 어디서든 아토텍 원본 예비 부품을 이용할 수 있습니다.
  • 전 세계 어디서든 서비스 팀이 현장 설치를 지원합니다.
  • 우수한 교육 및 경험을 갖춘 서비스 팀이 고객을 기다립니다.

Uniplate® PLB

아토텍의 새로운 혁신적인 도금 장비

Uniplate® PLB 시스템 솔루션은 고급 기판용 솔루션이며 에너지, 물, 약품 소비량을 최소화하도록 설계되었습니다. 또한 높은 수준의 자동화, 반복적인 고품질 생산 결과는 물론 한 차원 높은 산업용 사물인터넷(IIoT) 및 스마트 팩토리 생산에 최적화되어 있습니다.

High-purity chemistry manufacturing

운송 기술

범용 운송 시스템(UTS) – 초연성 소재 처리용(UTS-xs)

아토텍의 범용 운송 시스템은 아토텍의 디스미어, PTH 및 구리 도금 장비가 다양한 패널 두께를 처리할 수 있도록 설계되었습니다. 범용 운송 시스템은 UTS-XL, UTS-s, UTS-xs 및 새로운 UTS-xs+로 구성됩니다. 이 시스템은 UTS에 가장 최근에 추가된 시스템으로, Uniplate® P 및 LB 라인에 대한 안전하고 얇은 소재 운송의 새로운 가능성을 열어 줍니다.

자세히 알아보기

문의하기




    이메일 주소를 입력해주셔야 문의에 대한 이메일 회신을 드릴 수 있습니다.

    Output

    Argentina

    Buenos Aires

    • Sales office

    Atotech Argentina S.A.
    Paraná 4574
    (1605) Buenos Aires
    Argentina

    Tel.: +54 11 4756 71 67

    Email: francisco.llerena@atotech.com

    Australia

    Melbourne

    IMCD Australia Pty Ltd
    • Distributor Australia and New Zealand

    Level 1, 352 Wellington Road
    Mulgrave, VIC, 3170
    Australia

    Phone: +61 3 8544 3125
    Fax: +61 3 8544 3299

    Your contact:

    Rekha Kalian
    rekha.kalian@imcd.com.au
    Mobile: +61 426 255 118

    Austria

    Purkersdorf

    Main office
    • Sales office

    Atotech Österreich GmbH
    Linzer Straße 63
    3002 Purkersdorf
    Austria

    Tel.: +43 223 168 24 00

    Email: atotech.at@atotech.com
    Local information

    Belarus

    Minsk

    • Software and factory automation

    Visutech Plating, An Atotech Group Company
    38 Nemiga St.
    220004 Minsk
    Belarus

    Tel.: +375 (17) 348 34 23
    Fax: +375 17 270 29 72

    Email: info@visutechplating.by

    Bolivia

    Santa Cruz

    Anders Bolivia Ltda.
    • Distributor Bolivia

    Anders Bolivia Ltda.
    Av. Udalrico Zambrana 10,
    4to Anillo y Radial 17 1/2
    Zona los Bosques
    Santa Cruz
    Bolivia

    Tel.: +591 3 355 24 34
    Fax: +591 3 357 85 35

    Email: katia.justiniano@qanders.com

    Brazil

    Sao Paulo

    • Chemistry production
    • TechCenter Electronics
    • TechCenter General metal finishing
    • Sales office

    Galvanotécnica Ltda.
    Rua Maria Patricia da Silva, 205
    Taboão da Serra-SP
    CEP 06787-480
    Brasil

    Tel.: +55 11 4138 99 00
    Fax.: +55 11 4138 99 09

    Email: vendas.tabo@atotech.com

    Bulgaria

    Sofia

    Branch office Bulgaria
    • Sales office

    Atotech Bulgaria EOOD
    Sofia Nadejda BL. 329 vk. A ap. 8
    1220 Sofia
    Bulgaria

    Tel.: +359 886 816 816

    Email: elitsa.petrova@atotech.com

    Chile

    Santiago de Chile

    Anders Chile SpA
    • Distributor Chile

    Anders Chile SpA
    Américo Vespucio 1385 P.E. Spacioflex Edificio A Módulo 9
    Comuna de Quilicura
    8730596 Santiago de Chile
    Chile

    Tel.: +56 2 2948 8100

    Email: juan.arango@qanders.com

    China

    Hong Kong

    Main office
    • Sales office

    Atotech Asia Pacific Ltd.
    Unit 906-909, 9/F, Mira Place Tower A
    132 Nathan Road, Tsim Sha Tsui
    Kowloon
    Hong Kong

    Tel.: +852 272 201 08
    Fax.: +852 272 135 40

    Email: Atotech.KOWL-COMM@atotech.com
    Local information

    Nanjing

    • Sales office

    Atotech (China) Chemicals Ltd.
    4/F., Donglai Business Center
    No. 30, Longpan ZhongLu
    Nanjing
    China 210016

    Tel.: +86 25 8480 1788
    Fax.: +86 25 8482 0708

    Email: Atotech.KOWL-COMM@atotech.com
    Local information

    Tianjin

    • Sales office

    Atotech (China) Chemicals Ltd.
    No. 2, Dong FangHong Road
    Economic Development Zone of JingHai
    Tianjin
    China 301600

    Tel.: +86 22 5952 7796
    Fax.: +86 22 5952 7798

    Email: Atotech.KOWL-COMM@atotech.com
    Local information

    China

    Shanghai

    • TechCenter Electronics
    • TechCenter General metal finishing

    Atotech (China) Chemicals Ltd.
    No. 5399 Plant A6, Wai Qing Song Road
    Qingpu District
    Shanghai
    China 201707

    Tel.: +86 21 6921 0608
    Fax.: +86 21 6921 0202

    Email: Atotech.KOWL-COMM@atotech.com
    Local information

    Wenzhou

    • Sales office

    Atotech (China) Chemicals Ltd.
    No. 7, Juxin Road
    Juguang, Industrial Park
    Wenzhou City
    China 325027

    Tel.: +86 577 889 388 70
    Fax.: +86 577 889 388 71

    Email: Atotech.KOWL-COMM@atotech.com
    Local information

    Further service points

    Laboratories in

    • Qingdao
    • Wuhan
    • Zhaoqing
    • Zhongshan
    • Xiamen
    • Ningbo

    China

    Guangzhou

    • TechCenter Electronics
    • TechCenter General metal finishing
    • Chemistry production
    • Equipment manufacturing

    Atotech (China) Chemicals Ltd.
    73, Xinzhuang 2-Lu
    Yonghe District, GETDD,
    Guangzhou
    China 511356

    Tel.: +86 20 8297 5160
    Fax.: +86 20 8297 5170

    Email: Atotech.KOWL-COMM@atotech.com
    Local information

    Chongqing

    • Sales office

    Atotech (China) Chemicals Ltd.
    Building 2, Zhongrun Industrial Park
    No. 252, Tonghe Road
    Tongliang Dist., Chongqing
    China 400039

    Tel.: +86 23 8519 1000
    Fax.: +86 23 8519 3000

    Email: Atotech.KOWL-COMM@atotech.com
    Local information

    Yangzhou

    • Chemistry production

    Atotech (Yangzhou) Chemicals Ltd.
    No.11, Hua Dian Road
    Yangzhou Chemical Industry Park
    Yizheng, Yangzhou, Jiangsu
    China 211400

    Tel.: (+86-514) 8397-4000
    Fax.: (+86-514) 8397-4029

    Colombia

    Bogotá

    Anders Colombia S.A.S.
    • Distributor Colombia

    Anders Colombia S.A.S.
    Calle 26 # 102-20 Oficina 303
    Edificio Buro 26
    110911 Bogotá
    Colombia

    Tel.: +57 1 7397598

    Email: jose.gomez@qanders.com

    Czech Republic

    Jablonec nad Nisou

    • Chemistry production
    • TechCenter General Metal Finishing
    • Sales office

    Atotech CZ, a.s.
    Belgicka 5119
    46605 Jablonec nad Nisou
    Czech Republic

    Tel.: +420 483 570 000

    Email: jablonec@atotech.com

    Ecuador

    Quito

    Anders Ecuador Cia. Ltda.
    • Distributor Ecuador

    Anders Ecuador Cia. Ltda.
    Calle N 68A De Los Aceitunos s/n.
    170307 Quito
    Ecuador

    Tel.: +593 2 247 84 85
    Fax: +593 2 247 86 49

    Email: jose.gomez@qanders.com

    Egypt

    Cairo

    Al Hoda Chemicals
    • Distributor Egypt

    Al Hoda Chemicals
    13 El Fardous St.
    Extention of Ahmed Said St.
    Abbasseya
    Cairo

    Tel.: +2 0127 3474 447
    Tel.: +2 02 2685 5788

    Email: Sales@alhodachemicals.com

    France

    Saint Ouen l'Aumône

    • Sales office

    Atotech France
    29, Avenue de l'Eguillette
    ZA du Vert Galant
    95310 Saint Ouen l'Aumône
    France

    Tel.: +33 1 34 30 20 60

    Email: atotech.france@atotech.com
    Local information

     

    Germany

    Berlin

    Regional headquarters Europe
    • Research & development
    • TechCenter Electronics
    • TechCenter General metal finishing
    • Sales office

    Atotech Deutschland GmbH & Co. KG
    Erasmusstrasse 20
    10553 Berlin
    Germany

    Tel.: +49 30 349 85 0
    Fax.: +49 30 349 85 777

    Email: info@atotech.com
    Local information

    Trebur

    Corrosion Protection Competence Center
    • Chemistry production
    • Research & development
    • TechCenter General metal finishing

    Atotech Deutschland GmbH & Co. KG
    Untergasse 47
    65468 Trebur
    Germany

    Tel.: +49 61 4750 13 0
    Fax.: +49 61 4750 13 19/29

    Local information

    Germany

    Feucht

    Equipment
    • Manufacturing
    • Research & development
    • TechCenter
    • Sales office

    Atotech Deutschland GmbH & Co. KG
    Industriestrasse 69
    90537 Feucht
    Germany

    Tel.: +49 9128 725 0
    Fax.: +49 9128 725 424

    Email: atotech.feucht@atotech.com
    Local information

    Germany

    Neuruppin

    Production
    • Chemistry production

    Ahornallee 4
    Industriegebiet Temnitz Park
    16818 Werder/bei Neuruppin
    Germany

    Tel.: +49 33 920 611 16
    Fax.: +49 33 920 611 19

    Email: atotech.neuruppin@atotech.com
    Local information

     

    Greece

    Thessaloniki

    Hadjikypreos - Chemicals S.A.
    • Distributor Greece

    Hadjikypreos – Chemicals S.A.
    Electroplating Products & Equipment
    Industrial Area of Thessaloniki
    Block: 48B, Street: DA9
    Thessaloniki
    Sindos – GR 570 22

    Tel.: +30 2310 797 505
    Fax.: +30 2310 797 504

    Email: info@hadjikypreos.gr

    Hungary

    Budapest

    Branch office Hungary
    • Sales office

    Atotech Österreich GmbH
    Magyarországi Fióktelepe
    H-1143 Budapest
    Francia út 43.
    Hungary

    Tel.: +36 1 273 1484

    Email: atotech.at@atotech.com

    India

    Gurugram

    Atotech India Private Limited
    (CIN No: U74999HR1996PTC033492)

    • Sales office
    • Chemistry production

    Registered office:
    66 KM Stone, N.H. 8, Delhi Jaipur Highway,
    Village Sidhrawali, District Gurugram – 122413
    Haryana, India

    Tel.: +91 124 2679 620 / 621
    Fax.: +91 1274 267 189

    Email: atotech.hary-admin@atotech.com
    Local information

    Atotech Development Center Private Limited
    (CIN: U73100HR2015FTC057006)

    • Research & development
    • TechCenter Electronics
    • TechCenter General metal finishing

    Sales/corporate office:
    Plot No. 446 - G & H, Sector-8,
    IMT Manesar Gurugram-122050,
    Haryana, India

    Tel.: +91 124 6447900

    Pune

    • Sales office

    Atotech India Private Limited
    128/2, Sanghavi Complex, Telco Road
    Chinchwad, Pune, Maharashtra - 411019
    India

    Tel.: +91 20 274 416 01 / 02
    Fax: +91 20 274 416 03

    Ludhiana

    • Sales office

    Atotech India Private Limited
    First Floor, Zoom Building
    Property No. B-XXIII, 2581/1, R.K. Road
    Industrial area – A, Ludhiana
    Punjab - 141003
    India

    Tel.: +91 161 4640 192
    Fax.: +91 161 4640 192

    India

    Bengaluru

    • Customer support lab

    Atotech Development Center Private Limited
    74/B, West Phase
    Electronic city Phase 1
    Near Y SEC IT Software
    Bengaluru 560100
    Karnataka, India

    Tel.: +91 8110 419 000
    Fax.: +91 8110 419 020

    Email: atotech.bang-admin@atotech.com
    Local information

    Mumbai

    • Sales office

    Atotech India Private Limited
    UNIT No. 209, Krishna Commercial Center 6
    Udyog Nagar, Off S. V. Road, Goregaon West
    Mumbai, Maharashtra - 400062
    India

    Tel.: +91 22 2878 3400
    Fax.: +91 22 2878 8278

    Chennai

    • Sales office

    Atotech India Private Limited
    303, SIDCO AIEMA Tower, 1st Main Road
    Ambattur Industrial Estate
    Chennai - 600 058
    Tamil Nadu
    India

    Tel.: +91 44 4852 8963 / +91 96 000 71 757

    Indonesia

    Jakarta

    • Sales office

    PT. Atotech Indonesia Chemicals
    The Suite Tower level 7 – Union Space
    Jkt outer Ring Road No. 1, RW.2
    Kamal Muara, Kec. Penjaringan
    Jakarta Utara 14470
    Indonesia

    Tel.: +62-21 30420687
    Fax.: +62-21 30420688

    Email: Atotech.ATT-Singapore-CSE@atotech.com
    Local information

    Israel

    Akko

    Global Environment Solutions LTD
    • Distributor Israel

    Global Environment Solutions LTD
    Industrial Zone
    Po Box 2408
    24123 Akko
    Israel

    Tel.: +972 4 98 76 107
    Fax.: +972 4 98 76 133

    Email: RikaB@ges.co.il

    Italy

    Milan

    Main office
    • TechCenter General metal finishing
    • Sales office

    Atotech Italia S.r.l.
    Via Lecco, 6
    20045 Lainate (MI)
    Italy

    Tel.: +39 02 933 021
    Fax.: +39 02 933 021 99

    Email: atotech.italia@atotech.com
    Local information

    Italy

    Cluj-Napoca

    • Sales office

    Atotech Sucursala Cluj Napoca
    400117 Cluj
    Romania

    Phone: +40 736 639825

    Email: atotech.romania@atotech.com

    Japan

    Yokohama

    Regional headquarters FarEast
    • TechCenter Electronics
    • TechCenter General metal finishing

    Atotech Japan K.K.
    German Industry Park
    1-18-2 Hakusan
    Midori-ku, Yokohama
    Kanagawa 226-0006
    Japan

    Tel.: +81 45 937 6116
    Fax.: +81 45 937 6117

    Email: japan@atotech.com
    Local information

    Koda

    • Chemistry production

    Atotech Japan K.K.
    1-6 Shimo-Ohbasan
    Mutsuguri, Koda-cho
    Nukata-gun, Aichi 444-0122
    Japan

    Tel.: +81 564 62 14 15
    Fax.: +81 564 56 90 00

    Email: japan@atotech.com
    Local information

    Japan

    横浜

    アジア拠点
    • TechCenter Electronics
    • TechCenter General metal finishing

    アトテックジャパン株式会社
    226-0006
    神奈川県横浜市緑区白山1-18-2
    ジャーマンインダストリーパーク

    Tel.: +81 45 937 6116
    Fax.: +81 45 937 6117

    Email: japan@atotech.com
    Local information

    幸田町

    • 薬品製造工場

    アトテックジャパン株式会社
    444-0122
    愛知県額田郡幸田町六栗下大迫1-6

    Tel.: +81 564 62 14 15
    Fax.: +81 564 56 90 00

    Email: japan@atotech.com
    Local information

    Korea

    Jangan

    • TechCenter Electronics
    • TechCenter General metal finishing
    • Chemistry production

    Atotech Korea Ltd.
    37, Jangangongdan 1-gil, Jangan-myeon,
    Hwaseong-si, Gyeonggi-do, ZIP: 18579
    Korea

    Tel.: +82 31 359 3000
    Fax.: +82 31 351 8557

    Email: info-korea@atotech.com
    Local information

    Seoul

    • Sales office

    Atotech Korea Ltd.
    7F Dongsung building, 21 Gukhoe-Daero 62-Gil,
    Yeongdeungpo-Gu, Seoul, ZIP: 07236
    Korea

    Tel.: +82 2 550 7200
    Fax.: +82 2 561 0015

    Email: info-korea@atotech.com

    Busan

    • Sales office

    Atotech Korea Ltd.
    #403 Busan Cheongjeongdogeum Center,
    20 Noksansandan 382-ro 14gil,
    Gangseo-gu, Busan, ZIP: 46757
    Korea

    Tel.: +82 51 973 0510
    Fax.: +82 51 973 1579

    Email: info-korea@atotech.com

    Korea

    장안

    • TechCenter Electronics
    • TechCenter General metal finishing
    • Chemistry production

    Atotech Korea Ltd.
    경기도 화성시 장안면 장안공단 1길 37
    (zip: 18579)

    Tel.: +82 31 359 3000
    Fax.: +82 31 351 8557

    Email: info-korea@atotech.com
    Local information

    서울

    • Sales office

    Atotech Korea Ltd.
    서울시 영등포구 국회대로 62길 21
    동성빌딩 7층 (ZIP: 07236)

    Tel.: +82 2 550 7200
    Fax.: +82 2 561 0015

    Email: info-korea@atotech.com

    부산

    • Sales office

    Atotech Korea Ltd.
    부산시 강서구 녹산산단 382로 14번가길 20
    (송정동), 부산 청정도금센터 403호 (zip:46757)

    Tel.: +82 51 973 0510
    Fax.: +82 51 973 1579

    Email: info-korea@atotech.com

    Lithuania

    Vilnius

    • Sales office

    UAB Atotech-Chemeta
    Konstitucijos pr. 21A
    LT-08130 Vilnius
    Lietuva

    Tel.: +370 5 272 93 94
    Local information

    Generalinis direktorius

    Gražina Kontrimavičiūtė
    Tel.: + 370 5 272 93 94
    El.paštas: Grazina.Kontrimaviciute@atotech.com

    Lithuania

    Verslo plėtros direktorius

    Dr. Jaroslaw Rozwadowski
    Tel.: + 48 61 662 27 35
    El.paštas: Jaroslaw.Rozwadowski@atotech.com

    Pardavimų vadovas

    Juozas Daukšas
    Tel.: + 370 5 272 93 94
    El.paštas: Juozas.Daukshas@atotech.com

    Malaysia

    Penang

    • Chemistry production

    Atotech Malaysia Sdn. Bhd.
    1182, Lorong Perindustrian Bukit Minyak 22
    Taman Perindustrian Bukit Minyak
    14100 Simpang Ampat
    Pulau Pinang

    Malaysia

    Tel.: +60 4 506 9800
    Fax.: +60 4 506 2280

    Email: Atotech.PNNG-customerservice@atotech.com

    Malaysia

    Selangor

    • Sales office

    Atotech Malaysia Sdn. Bhd.
    Lot 6.03A, Level 6, 1 Tech Park
    Tanjung Bandar Utama
    Bandar Utama, 47800 Petaling Jaya
    Selangor Darul Ehsan

    Malaysia

    Tel.: +60 3 7732 3070

    Email: Atotech.PNNG-customerservice@atotech.com

    Mexico

    Querétaro

    • Chemistry production
    • Sales office

    Atotech de México S.A. de C.V.
    Carretera Estatal 100
    No. 4200 Lote 33/34, Interior 4-H/4-G
    Parque Industrial Aeropuerto San Ildefonso
    Colón, Querétaro

    México, C.P. 76295

    Tel.: +52 4422 9588 62

    Mexico

    Business Development Manager
    Norberto Pineda Salinas
    Email: norberto.pineda@atotech.com
    Tel. (cell): +52 1 55 8580 7816

    Sales Manager
    José Alberto Benedito Morant
    Email: alberto.benedito@atotech.com
    Tel. (cell): +52 1 55 2272 0507

    Peru

    Lima

    Anders Peru S.A.C.
    • Distributor Peru

    Anders Peru S.A.C.
    JR. PASEO DEL BOSQUE Nro. 500, Int. 301
    URB. CHACARILLA DEL ESTANQUE
    SAN BORJA, 15037 LIMA
    Peru

    Tel.: +51 1 615 86 00
    Fax.: +51 1 615 86 10

    Email: horst.eichhorn@qanders.com

    Philippines

    Muntinlupa City

    • Sales office

    Atotech (Philippines) Chemicals, Inc.
    401-402 B2 L7
    CTP Alpha Building
    Investment Drive
    Madrigal Business Park
    Alabang, Muntinlupa City
    Philippines, 1780

    Tel.: +63 2 834 0100
    Fax.: +63 2 833 2282

    Email: singapore-cse@atotech.com
    Local information

    Poland

    Poznań

    • Sales office

    Atotech Poland Sp.z o.o.
    Ul. Marcelińska 92/94
    60-324 Poznań
    Poland

    Tel.: +48 61 662 27 35
    Fax.: +48 61 662 19 02

    Email: atotech.pozn-office@atotech.com
    Local information

    Managing Director

    Jarosław Rozwadowski
    Email: jaroslaw.rozwadowski@atotech.com

    Poland

    Deputy Business Director, Business Manager GMF, Electronics

    Rafał Janowicz
    Tel.: +48 506 176 303
    Email: rafal.janowicz@atotech.com

    Przedstawiciel Techniczno-Handlowy GMF

    (Klasyczna galwanotechnika – Procesy antykorozyjne, cynk lamelarny, nikiel chemiczny, chrom techniczny)

    Magdalena Gortat
    PMM CRC/ZF
    Tel.: + 48 506 368 167
    Email: magdalena.gortat@atotech.com

    Przedstawiciel Techniczno-Handlowy GMF

    (Klasyczna galwanotechnika - Technologie dekoracyjne, pokrywanie plastiku)

    Jacek Chomiuk
    PMM DECO/POP
    Tel.: + 48 506 176 294
    Email: jacek.chomiuk@atotech.com

    Przedstawiciel Techniczno-Handlowy PST

    (Technologie wspierające procesy malowania- przygotowanie powierzchni, stripowanie farby)

    Tomasz Ilski
    Tel.: +48 512 368 712
    Email: tomasz.ilski@atotech.com

    Przedstawiciel Techniczno-Handlowy Electronika

    (PCB – Produkcja płytek obwodów drukowanych)

    Michał Zaczek
    Tel.: +48 61 662 27 35
    Email: michal.zaczek@atotech.com

    Portugal

    Aveiro

    • Sales office

    Atotech España S.A.U.
    Sucursal em Portugal
    Av. Europa, nº 473 C
    3800 – 228 Aveiro
    Portugal

    Tel.: +351 234 729 800

    Email: atotech.es@atotech.com
    Local information

    Spain

    Erandio

    Main office
    • Sales office

    Atotech España S.A.U.
    Sociedad Unipersonal
    Apartado 156 - 48950 Erandio
    Ribera de Axpe, 39
    48950 Erandio - Bizkaia
    España

    Tel.: +34 944 8030 55 / 00
    Fax.: +34 944 8030 21 / 12

    Email: atotech.es@atotech.com
    Local information

    Spain

    Barcelona

    Delegación Cataluña and Valencia
    • Sales office

    Atotech España S.A.U.
    Sociedad Unipersonal
    Parc Tecnològic del Vallès
    Ronda Can Fatjó, 8
    08290 Cerdanyola del Vallès (Barcelona)
    España

    Tel.: +34 93 680 11 77
    Fax.: +34 93 680 00 22

    Email: atotech.es@atotech.com
    Local information

    Portugal

    Aveiro

    Sociedad Unipersonal/Sucursal em Portugal
    • Sales office

    Atotech España S.A
    Sociedad Unipersonal
    Sucursal em Portugal
    Avda. Europa, Nr. 473 - C
    3800 - 228 Aveiro
    Portugal

    Tel.: +351 234 729 800

    Email: atotech.es@atotech.com
    Local information

    Romania

    Cluj Napoca

    Sucursala Italia
    • Sales office

    Calea Dorobantilor Nr. 14-16
    400117 - Cluj City Center, office 003
    Romania

    Tel.: +40 37106 4033
    Fax.: +40 37289 3814

    Email: atotech.romania@atotech.com

    Scandinavia

    Norrköping

    • Sales office

    Atotech Skandinavien AB
    Slottsgatan 33
    Box 5, SE-60102 Norrköping
    Sweden

    Tel.: +46 11 36 11 00
    Fax.: +46 11 10 01 62

    Email: sales.scan@atotech.com

    Singapore

    Singapore

    • FEC competence center
    • TechCenter Electronics
    • Sales office

    Atotech (Singapore) Chemicals Pte. Ltd.
    8 Buroh Street
    Unit #03-01
    Surface Engineering Hub
    Singapore 627563

    Tel.: +65 6862 26 18
    Fax.: +65 6862 15 06

    Email: singapore-cse@atotech.com
    Please send your job application to:
    Atotech.SPOR-HRD@atotech.com
    Local information

    Slovakia

    Banská Bystrica

    • Sales office

    Atotech SK, s.r.o.
    J. Chalupku 8
    974 01 Banská Bystrica
    Slovakia

    Tel.: +421 484 700 162 164
    Fax.: +421 484 700 161

    Email: bystrica@atotech.com

    Slovenija

    Podnart

    • Chemistry production

    Atotech Slovenija d.d.
    Podnart 43
    4244 Podnart
    Slovenija

    Tel.: +386 4 537 60 00

    Email: Atotech.PODN-INFO@atotech.com

    Informacija za javnost april 2024

    Spain

    Erandio

    Main office
    • Sales office

    Atotech España S.A.U.
    Sociedad Unipersonal
    Apartado 156 - 48950 Erandio
    Ribera de Axpe, 39
    48950 Erandio - Bizkaia
    España

    Tel.: +34 944 8030 55 / 00
    Fax.: +34 944 8030 21 / 12

    Email: atotech.es@atotech.com
    Local information

    Spain

    Barcelona

    Delegación Cataluña and Valencia
    • Sales office

    Atotech España S.A.U.
    Sociedad Unipersonal
    Parc Tecnològic del Vallès
    Ronda Can Fatjó, 8
    08290 Cerdanyola del Vallès (Barcelona)
    España

    Tel.: +34 93 680 11 77
    Fax.: +34 93 680 00 22

    Email: atotech.es@atotech.com
    Local information

    Portugal

    Aveiro

    Sociedad Unipersonal/Sucursal em Portugal
    • Sales office

    Atotech España S.A
    Sociedad Unipersonal
    Sucursal em Portugal
    Avda. Europa, Nr. 473 - C
    3800 - 228 Aveiro
    Portugal

    Tel.: +351 234 729 800

    Email: atotech.es@atotech.com
    Local information

    Switzerland

    Courgenay

    • Sales office

    Atotech Deutschland GmbH & Co. KG
    Zweigniederlassung Courgenay
    Vertrieb Schweiz
    L'Armeratte 2
    CH - 2950 Courgenay
    Switzerland

    Tel.: +41 32 471 31 19
    Fax.: +41 32 566 13 29

    Email: info.schweiz@atotech.com

    Taiwan

    Taipei

    Main office
    • Sales office

    Atotech Taiwan Limited
    4F., No. 285
    Sec. 3 Nanjing E. Rd.
    Taipei 10550
    Taiwan

    Tel.: +886 2 2717 6868
    Fax.: +886 2 2713 2732

    Local information

    Taoyuan

    • Sales office

    Atotech Taiwan Limited
    5F., No. 15
    Jingguo Rd.
    Taoyuan City 33050
    Taiwan

    Tel.: +886 3 356 2468
    Fax.: +886 3 357 2585

    Local information

    Taiwan

    Kaohsiung

    • Customer support lab

    Atotech Taiwan Limited
    4F., No. 47, Dazhong 2nd Rd.
    Zuoying Dist., Kaohsiung City 813022
    Taiwan

    高雄市左營區大中二路47號4樓

    Tel.: +886 7 343 2876
    Fax.: +886 7 343 2898

    Local information

    Key Contacts / 主要聯絡人

    金屬表面處理 GMF
    李德芬 (Hannah Lee)
    Phone: +886 2 2717 6868 #303
    Email: Hannah.Lee@atotech.com

    電子事業部 EL
    李憶婷 (Bennia Lee)
    Phone: +886 3 356 2468 # 501
    Email: Bennia.Lee@atotech.com

    觀音研發中心 Guanyin ATC
    謝廷偉 (Casper Hsieh)
    Phone: +886 3 438 9788 # 601
    Email: Casper.Hsieh@atotech.com

    半導體 SC
    陳彥合 (Bobby Chen)
    Phone: +886 3 356 2468 # 950
    Email: Bobby.Chen@atotech.com

    高雄研發中心Kaohsiung ATC
    龔嫊婷 (Tina Kung)
    Phone: +886 7 343 2876 # 701
    Email: Tina.Kung@atotech.com

    環安衛HES
    郭宜慧 (Rosa Kuo)
    Phone: +886 3 438 9788 # 881
    Email: Rosa.Kuo@atotech.com

    人資行政HR
    陳雅莉 (Alice Chen)
    Phone: +886 2 2717 6868 # 113
    Email: Alice.Chen@atotech.com

    Taiwan

    Guanyin

    • TechCenter Electronics
    • Chemistry production

    Atotech Taiwan Limited
    11, Jingjian 2nd Rd.
    Guanyin Industrial Park
    Taoyuan City 32853
    Taiwan

    Tel.: +886 3 438 9788
    Fax.: +886 3 438 9792

    Local information

    Thailand

    Bangkok

    • Sales office

    Atotech (Thailand) Co., Ltd.
    11th Floor, 1 TP&T Tower
    Soi 19, Vibhavadee Rungsit Road
    Chatuchak, Chatuchak, Bangkok 10900
    Thailand

    Tel.: +66 293 618 73
    Fax.: +66 293 618 76

    Email: thomas.beck@atotech.com

    The Netherlands

    De Meern

    Main office

    Atotech B.V.
    Strijkviertel 35-2
    3454 PJ De Meern
    The Netherlands

    Tel.: +31 30 240 90 10

    Email: sales.nl@atotech.com

    The Netherlands

    Sofia

    Branch office Bulgaria

    Atotech B.V. (Nederland)
    Zk Drujba Bl. 223-B-46
    1582 Sofia
    Bulgaria

    Tel.: +359 886 816 816
    Fax.: +359 888 634 149

    Email: elitsapetrova@atotech-bg.com

    Turkey

    Istanbul

    • Sales office
    • Customer service lab

    Atotech İstanbul Kimya Sanayi Tic. Ltd. Şti.
    Barbaros Mah.
    Nesime Hanım Sok. No:4
    34746. Ataşehir - İstanbul
    Turkey

    Tel.: +90216 593 23 90
    Fax.: +90216 593 23 98

    Email: kansav.atila@atotech.com

    Turkey

    Izmir

    • Sales office
    • Customer service lab

    Atotech İstanbul Kimya Sanayi Tic. Ltd. Şti.
    Halkapınar Mah.
    1203/12 Sok. No: 2/1.
    35170. Konak - İzmir
    Turkey

    Tel.: +90 232 435 92 93
    Fax.: +90 232 435 95 99

    Email: kansav.atila@atotech.com

    Ukraine

    Kiev

    GALVANOMAYSTER Ltd.
    • Distributor Ukraine

    GALVANOMAYSTER Ltd.
    Mr. Volodymyr Baranovskyi
    Yevhen Sverstiuk Str. 11
    02660 Kiev
    Ukraine

    Tel.: +38 044 516 86 90
    Fax.: +38 044 517 16 13

    Email: v.baranovskyi@galvanomayster.kiev.ua

    United Kingdom

    West Bromwich

    • Sales office

    Atotech UK Ltd.
    William Street, West Bromwich
    West Midlands B70 0BG
    United Kingdom

    Tel.: +44 121 606 77 77
    Fax.: +44 121 606 72 00

    Email: sales.uk@atotech.com
    Local information

    UAE

    Ajman

    Al Taher Chemicals
    • Distributor U.A.E.

    Al Taher Chemicals Trading LLC
    Near Lucky R/A
    Industrial Area-2
    P.O. Box – 18912
    Ajman
    U.A.E.

    Tel.: +971 6 7482593

    Email: info@altaherchemicals.com

    USA

    Rock Hill

    Regional headquarters
    • Chemistry production
    • TechCenter Electronics
    • TechCenter General metal finishing

    Atotech USA, LLC
    1750 Overview Drive
    Rock Hill, SC 29730
    USA

    Tel.: +1 803 817 3500
    Fax.: +1 803 817 3602

    Email: usainfo@atotech.com
    Local information

    USA

    Detroit

    • Customer analytics laboratory
    • Warehouse

    Atotech USA, LLC
    35840 Beattie Drive,
    Sterling Heights, Michigan 48312
    USA

    Tel.: 1-800-PLATING

    Email: usainfo@atotech.com
    Local information

    Vietnam

    Ho Chi Minh City

    Main office
    • Sales office
    • Customer service lab

    Atotech Vietnam Co., Ltd.
    5F Hai Au Building
    39B Truong Son Street, Ward 4
    Tan Binh District
    Ho Chi Minh City
    Vietnam

    Tel.: +84 8 6296 1670
    Fax.: +84 8 6296 1675

    Vietnam

    Hanoi

    • Sales office
    • Customer service lab

    Atotech Vietnam Co., Ltd.
    Floor 2, VPI Building
    Trung Kinh Street, Yen Hoa Ward
    Cau Giay District
    Hanoi City
    Vietnam

    Tel.: +84 4 3768 7618
    Fax.: +84 4 3768 7619




      이메일 주소를 입력해주셔야 문의에 대한 이메일 회신을 드릴 수 있습니다.




        이메일 주소를 입력해주셔야 문의에 대한 이메일 회신을 드릴 수 있습니다.