업계를 선도하는 내부 레이어 본딩, 표면 준비,

포토레지스트/금속 스트리핑 및 접착 촉진 제품

제품 포트폴리오

표면 전처리

패키지 기판 및 인쇄 회로판(HDI/MLB 및 Flex/Flex-rigid)용 통합 습식 화학 공정 및 장비 솔루션

간략한 정보

  • I/L 본딩 분야의 글로벌 시장 선도업체
  • 고주파 애플리케이션(5G/6G)용 맞춤형 솔루션
  • 동급 최고의 표면 준비 공정
  • 최고급 미세 라인 솔루션
  • 고전압 시장용으로 설계된 특수 화학 제품

애플리케이션

  • PCB/MLB/HDI PCB/고급 HDI PCB
  • 패키지 기판
  • Flex PCB/Flex-rigid PCB
  • 자동차

제품 포트폴리오

내부 레이어 본딩 강화

  • BondFilm® Part A: 아토텍의 향상된 내부 레이어 본딩용 산화물 치환 공정은 비용 효율적이며 장기적으로 효과가 입증되었습니다. 전 세계에 400개 이상의 라인과 설비를 보유한 MKS 아토텍은 글로벌 시장에서 이 부문을 선도하고 있으며, 수많은 주요 PCB 제조업체와 OEM의 성공에 기여합니다.
  • BondFilm® MS 1000: 가장 최근에 업데이트된 BondFilm® 공정 중 하나인 MS 1000은 뛰어난 운영상의 이점을 제공하며 장비 유지보수, 슬러지 형성, 가동 중단 빈도를 크게 낮춥니다.
  • BondFilm® HP: 뛰어난 성능을 자랑하는 BondFilm® HP는 슬러지를 최소치로 줄여 BondFilm® 시리즈의 이점으로 알려진 우수한 성능을 유지하면서 환경 파괴 범위를 최소화합니다. 내구성이 중요한 까다로운 고전압 애플리케이션 또는 솔루션에 적합합니다.

5G/6G/고주파 애플리케이션

  • BondFilm® EX-S2: 현재 아토텍에서 가장 진보한 내부 레이어 본딩용 산화물 치환 공정으로 고주파 기능, 신뢰성, 손쉬운 처리를 모두 달성하도록 특수 설계되었습니다. 거칠기 요구 사항, 슬러지 형성과 환경적 영향을 줄여 가장 정교한 제품에 꼭 필요한 완벽한 도구를 만들어 냈습니다.
  • NovaBond® EX-S2: NovaBond® EX-S2 는 특히 열 신뢰성뿐만 아니라 라인 너비 감소가 없어야 하며 최소 조면화 및 우수한 접착력이 전제 조건인 까다로운 IC 기판 애플리케이션용으로 개발되었습니다. 아토텍의 간단한 4단계 공정은 경쟁업체 공정에 비해 최대 40% 낮은 TCOO를 보장합니다..
  • NovaBond® HF2: 간단한 3단계 고주파 접착 촉진 공정 솔루션입니다. 특히 라인 너비 감소가 없고 일반적인 고주파 유전체와 높은 호환성을 보이는 것이 특징입니다.

고급 표면 준비 처리

  • [솔더마스크 전처리] CupraEtch® SR 8000: 고유한 첨가제를 사용한 염화구리 기반 마이크로에칭 시스템입니다. 이 시스템은 간단한 3단계 공정을 통해 저온에서 표면을 균일하게 조면화 처리합니다. 아토텍의 비용 효율적인 전처리는 기존 라인에 쉽게 접목할 수 있으며, 산업 표준 드라이 필름 및 솔더마스크 유형에 대한 모든 구리 유형의 접착력을 안정적으로 향상합니다. 용액에 금속 착물이 포함되지 않아 비용 효율적인 폐수 처리에 기여합니다.
  • [잉크젯 솔더마스크 전처리] InkPromotor T15:고도로 제어 가능한 염화구리 기반 마이크로에칭 시스템 CupraEtch® SR 8000.과 조합하여 사용하는 블리드 방지제(anti-bleeding agent)입니다. InkPromotor T15의 간단한 원스텝 공정은 조면화 처리된 표면 위에 잉크가 과도하게 흐르는 모세관 작용을 방지합니다. 이 현상은 구리 표면 전반의 잉크 블리드(BLEED of the ink) 현상이라고 합니다. 따라서 높은 라인 해상도의 잉크젯 프린팅 솔더마스크 공정을 실현할 수 있습니다. 이는 환경 친화적으로 PCB를 생산하고 비용을 절감하는 혁신적인 방법입니다.
  • [포토레지스트 전처리] CupraEtch® DF 8000: 고도로 제어 가능한 염화구리 기반 마이크로에칭 시스템으로, 고유한 첨가제를 사용합니다. 이 시스템은 간단한 3단계 공정을 통해 저온에서 표면을 균일하게 조면화 처리합니다. 아토텍의 비용 효율적인 전처리는 기존 라인에 쉽게 접목할 수 있으며, 산업 표준 드라이 필름 유형에 대한 모든 구리 유형의 접착력을 안정적으로 향상합니다. 용액에 금속 착물이 포함되지 않아 비용 효율적인 폐수 처리에 한층 크게 기여합니다.
  • [차동 에칭] EcoFlash® / HyperFlash® 시리즈: 미세 라인 기술을 포함한 SAP 및 MSAP 애플리케이션용 차동 에칭을 염두에 두고 개발한 혁신적인 원스텝 공정입니다.
  • [• [구리 제거] HyperEtch® 시리즈: 동박, 시트, CCL의 두께를 균일하게 트리밍하는 고속 구리 제거용 약품입니다.
  • [전처리 LDD] BondFilm® LDD 시리즈: 신뢰할 수 있는 BondFilm® 시리즈를 BondFilm® LDD 제품으로 확장하여 CO2 레이저 흡수율을 극대화하고 직접 LDD 결과를 개선하는 전처리 및 표면을 조성합니다. 이러한 향상된 레이저 흡수율 특성은 홀 크기의 일관성을 높이며 다른 LDD 전처리에 비해 구리 스플래시(splash)를 줄입니다.
  • [후처리 LDD] BondFilm® LDD SR / LDD Enhancer: 구리 스플래시는 모든 LDD 공정에 존재하는 반갑지 않은 부작용입니다. 아토텍의 BondFilm® LDD SR은 구리 제거를 최소화하면서 구리 스플래시를 완벽하게 제거하도록 특수 설계되었습니다.

포토레지스트 스트리핑
Superior performance of ResistStrip IC for very fine lines

초미세 라인을 위한 ResistStrip IC의 우수한 성능

  • ResistStrip® 시리즈: 아토텍은 광범위한 ResistStrip® 시리즈 제품을 통해 모든 PCB 애플리케이션 요구사항에 적합한 솔루션을 제공합니다. 이 제품군은 조정된 수산화물 및 아민 용액을 기반으로 하여 부식성 손상을 최소화하면서 스트리핑 성능 개선을 보장합니다.
  • ResistStrip® IC 시리즈: 초미세 라인 생산에 적합하도록 발전한 이 제품은 매우 까다로운 IC 기판 업계의 요구를 충족할 수 있도록 특수 제작되었습니다. 기존의 스트리핑 메커니즘을 조정하여 미세 라인 애플리케이션에서 필름의 고착 및 드라이 필름 잔여물로 이어지는 필름 팽창을 근본적으로 방지하고 SAP와 같은 고급 제조 방법에서 최적의 성능을 발휘하도록 했습니다.

금속 스트리핑
Final Result with PallaStrip IC

상단: PallaStrip® IC를 사용하지 않은 최종 결과, 하단: PallaStrip® IC를 사용한 최종 결과

  • PallaStrip® IC 2: 시드 레이어를 포함하는 팔라듐의 제거에 사용할 수 있는 사이안화물 미포함 팔라듐 스트리퍼입니다. 시드 레이어 제거는 미세 라인 애플리케이션에서 매우 중요합니다. 시드 레이어가 있는 경우 후속 도금 작업 중 증착이 걷잡을 수 없이 발생할 수 있기 때문입니다. PallaStrip® IC 2는 유해한 사이안화물 성분을 사용하지 않는 간단하고 쉬운 공정 솔루션을 제공하여 구리 제거를 최소화하면서 팔라듐 촉매 증착물은 가장 효율적으로 제거합니다.
  • TinSolv® & SolderStrip®: 아토텍의 2단 및 1단 금속 스트리퍼 제품군은 금속 레지스트 스트리핑 이후 깨끗한 활성 구리 표면을 보장합니다. 주석 스트리핑용 TinSolv® 및 주석/납 제거용 SolderStrip®의 제형은 표면뿐만 아니라 작은 홀과 블라인드 비아에서도 완전하고 균일하게 스트리핑할 수 있도록 합니다.

직접 금속 증착

  • [폴리이미드 위 MD] CovaBond® 시리즈: 고밀도 배선 회로(HDI) 및 칩 온 플렉스(COF) 애플리케이션용 mSAP 및 SAP 처리 기술을 사용하여 폴리이미드 필름에 미세 라인 및 공간 회로를 직접 생성할 수 있습니다. 스퍼터 기술에 비해 Covabond는 접착력을 향상하고 공정 비용을 절감하며 과거에는 불가능했던 설계를 실현할 수 있도록 지원합니다.

유리 도금

  • VitroCoat® GI: 유리 위에 습식 화학 금속을 증착할 수 있도록 하는 혁신적인 접착 촉진제입니다. PVD와 같은 경쟁 공정에 비해 종횡비가 높은 스루홀 비아에서 독보적인 금속화 커버리지를 보여 경쟁에서 앞서 나가도록 지원합니다.

Novabond® EX

고급 본딩 애플리케이션용 차세대 접착 촉진제

아토텍이 새롭게 개발한 NovaBond® EX 공정은 혁신적이고 우수한 성능의 솔루션을 고객에게 선사합니다. 이 솔루션은 우수한 접착력, 열응력 후의 일관된 박리 강도를 제공하며, 현재 생산에 사용되는 다양한 고급 유전체는 물론 OEM의 미래 기술 로드맵에 있는 대부분의 계획 소재와의 높은 호환성까지도 보장합니다.

Horizon® BondFilm

본딩 강화 및 표면 처리 기술용 통합 생산 솔루션

Horizon® BondFilm 시스템은 화학 처리, 얇은 소재 운반, 유체 전달 분야의 최신 기술 패키지를 제공합니다.

  • Horizon® BondFilm LDD – 레이저 다이렉트 드릴링 적용에 앞서 최대 신뢰성으로 표면의 CO2 레이저 흡수율을 개선하는 고유한 공정입니다.
  • CupraEtch® – 고품질 인쇄 회로 생산 시 최초 이미징 레지스트 또는 솔더마스크의 최고 접착력을 위한 고유한 다목적 마이크로에칭 시스템입니다.

더 자세히 알아보기

Polygon ST Line ®

본딩 강화 및 표면 처리 기술용 통합 생산 솔루션

인쇄 회로판 생산 중 솔더마스크와 드라이 필름 처리에 대한 최신 요건을 충족하도록 설계된 혁신적인 수평 시스템입니다.

  • CupraEtch® 공정 약품 시리즈와 완벽하게 호환되며, 함께 사용할 경우 약품, 장비, 소프트웨어, 서비스를 결합한 최첨단 솔루션 기반 패키지가 됩니다.
  • 대량 생산에 최적화된 솔루션으로 패널 전반에 걸쳐 최대의 생산량과 동급 최고의 균일성을 제공합니다.

더 자세히 알아보기

“아토텍은 전체 제조 공정에 걸쳐 다양한 공정 약품을 제공하며, 핵심 사업은 고급 표면 준비 처리와 본딩 강화 기술을 대상으로 합니다. 지속 가능하면서도 기능적으로 신뢰할 수 있는 시스템을 개발하여 공급하는 것에 중점을 두고 있습니다.”

Patrick Brooks
Global Product Director Surface Treatment at Atotech Germany

문의하기




    이메일 주소를 입력해주셔야 문의에 대한 이메일 회신을 드릴 수 있습니다.

    Output

    Argentina

    Buenos Aires

    • Sales office

    Atotech Argentina S.A.
    Paraná 4574
    (1605) Buenos Aires
    Argentina

    Tel.: +54 11 4756 71 67

    Email: francisco.llerena@atotech.com

    Australia

    Melbourne

    IMCD Australia Pty Ltd
    • Distributor Australia and New Zealand

    Level 1, 352 Wellington Road
    Mulgrave, VIC, 3170
    Australia

    Phone: +61 3 8544 3125
    Fax: +61 3 8544 3299

    Your contact:

    Rekha Kalian
    rekha.kalian@imcd.com.au
    Mobile: +61 426 255 118

    Austria

    Purkersdorf

    Main office
    • Sales office

    Atotech Österreich GmbH
    Linzer Straße 63
    3002 Purkersdorf
    Austria

    Tel.: +43 223 168 24 00

    Email: atotech.at@atotech.com
    Local information

    Belarus

    Minsk

    • Software and factory automation

    Visutech Plating, An Atotech Group Company
    38 Nemiga St.
    220004 Minsk
    Belarus

    Tel.: +375 (17) 348 34 23
    Fax: +375 17 270 29 72

    Email: info@visutechplating.by

    Bolivia

    Santa Cruz

    Anders Bolivia Ltda.
    • Distributor Bolivia

    Anders Bolivia Ltda.
    Av. Udalrico Zambrana 10,
    4to Anillo y Radial 17 1/2
    Zona los Bosques
    Santa Cruz
    Bolivia

    Tel.: +591 3 355 24 34
    Fax: +591 3 357 85 35

    Email: katia.justiniano@qanders.com

    Brazil

    Sao Paulo

    • Chemistry production
    • TechCenter Electronics
    • TechCenter General metal finishing
    • Sales office

    Galvanotécnica Ltda.
    Rua Maria Patricia da Silva, 205
    Taboão da Serra-SP
    CEP 06787-480
    Brasil

    Tel.: +55 11 4138 99 00
    Fax.: +55 11 4138 99 09

    Email: vendas.tabo@atotech.com

    Bulgaria

    Sofia

    Branch office Bulgaria
    • Sales office

    Atotech Bulgaria EOOD
    Sofia Nadejda BL. 329 vk. A ap. 8
    1220 Sofia
    Bulgaria

    Tel.: +359 886 816 816

    Email: elitsa.petrova@atotech.com

    Chile

    Santiago de Chile

    Anders Chile SpA
    • Distributor Chile

    Anders Chile SpA
    Américo Vespucio 1385 P.E. Spacioflex Edificio A Módulo 9
    Comuna de Quilicura
    8730596 Santiago de Chile
    Chile

    Tel.: +56 2 2948 8100

    Email: juan.arango@qanders.com

    China

    Hong Kong

    Main office
    • Sales office

    Atotech Asia Pacific Ltd.
    Unit 906-909, 9/F, Mira Place Tower A
    132 Nathan Road, Tsim Sha Tsui
    Kowloon
    Hong Kong

    Tel.: +852 272 201 08
    Fax.: +852 272 135 40

    Email: Atotech.KOWL-COMM@atotech.com
    Local information

    Nanjing

    • Sales office

    Atotech (China) Chemicals Ltd.
    4/F., Donglai Business Center
    No. 30, Longpan ZhongLu
    Nanjing
    China 210016

    Tel.: +86 25 8480 1788
    Fax.: +86 25 8482 0708

    Email: Atotech.KOWL-COMM@atotech.com
    Local information

    Tianjin

    • Sales office

    Atotech (China) Chemicals Ltd.
    No. 2, Dong FangHong Road
    Economic Development Zone of JingHai
    Tianjin
    China 301600

    Tel.: +86 22 5952 7796
    Fax.: +86 22 5952 7798

    Email: Atotech.KOWL-COMM@atotech.com
    Local information

    China

    Shanghai

    • TechCenter Electronics
    • TechCenter General metal finishing

    Atotech (China) Chemicals Ltd.
    No. 5399 Plant A6, Wai Qing Song Road
    Qingpu District
    Shanghai
    China 201707

    Tel.: +86 21 6921 0608
    Fax.: +86 21 6921 0202

    Email: Atotech.KOWL-COMM@atotech.com
    Local information

    Wenzhou

    • Sales office

    Atotech (China) Chemicals Ltd.
    No. 7, Juxin Road
    Juguang, Industrial Park
    Wenzhou City
    China 325027

    Tel.: +86 577 889 388 70
    Fax.: +86 577 889 388 71

    Email: Atotech.KOWL-COMM@atotech.com
    Local information

    Further service points

    Laboratories in

    • Qingdao
    • Wuhan
    • Zhaoqing
    • Zhongshan
    • Xiamen
    • Ningbo

    China

    Guangzhou

    • TechCenter Electronics
    • TechCenter General metal finishing
    • Chemistry production
    • Equipment manufacturing

    Atotech (China) Chemicals Ltd.
    73, Xinzhuang 2-Lu
    Yonghe District, GETDD,
    Guangzhou
    China 511356

    Tel.: +86 20 8297 5160
    Fax.: +86 20 8297 5170

    Email: Atotech.KOWL-COMM@atotech.com
    Local information

    Chongqing

    • Sales office

    Atotech (China) Chemicals Ltd.
    Building 2, Zhongrun Industrial Park
    No. 252, Tonghe Road
    Tongliang Dist., Chongqing
    China 400039

    Tel.: +86 23 8519 1000
    Fax.: +86 23 8519 3000

    Email: Atotech.KOWL-COMM@atotech.com
    Local information

    Yangzhou

    • Chemistry production

    Atotech (Yangzhou) Chemicals Ltd.
    No.11, Hua Dian Road
    Yangzhou Chemical Industry Park
    Yizheng, Yangzhou, Jiangsu
    China 211400

    Tel.: (+86-514) 8397-4000
    Fax.: (+86-514) 8397-4029

    Colombia

    Bogotá

    Anders Colombia S.A.S.
    • Distributor Colombia

    Anders Colombia S.A.S.
    Calle 26 # 102-20 Oficina 303
    Edificio Buro 26
    110911 Bogotá
    Colombia

    Tel.: +57 1 7397598

    Email: jose.gomez@qanders.com

    Czech Republic

    Jablonec nad Nisou

    • Chemistry production
    • TechCenter General Metal Finishing
    • Sales office

    Atotech CZ, a.s.
    Belgicka 5119
    46605 Jablonec nad Nisou
    Czech Republic

    Tel.: +420 483 570 000

    Email: jablonec@atotech.com

    Ecuador

    Quito

    Anders Ecuador Cia. Ltda.
    • Distributor Ecuador

    Anders Ecuador Cia. Ltda.
    Calle N 68A De Los Aceitunos s/n.
    170307 Quito
    Ecuador

    Tel.: +593 2 247 84 85
    Fax: +593 2 247 86 49

    Email: jose.gomez@qanders.com

    Egypt

    Cairo

    Al Hoda Chemicals
    • Distributor Egypt

    Al Hoda Chemicals
    13 El Fardous St.
    Extention of Ahmed Said St.
    Abbasseya
    Cairo

    Tel.: +2 0127 3474 447
    Tel.: +2 02 2685 5788

    Email: Sales@alhodachemicals.com

    France

    Saint Ouen l'Aumône

    • Sales office

    Atotech France
    29, Avenue de l'Eguillette
    ZA du Vert Galant
    95310 Saint Ouen l'Aumône
    France

    Tel.: +33 1 34 30 20 60

    Email: atotech.france@atotech.com
    Local information

     

    Germany

    Berlin

    Regional headquarters Europe
    • Research & development
    • TechCenter Electronics
    • TechCenter General metal finishing
    • Sales office

    Atotech Deutschland GmbH & Co. KG
    Erasmusstrasse 20
    10553 Berlin
    Germany

    Tel.: +49 30 349 85 0
    Fax.: +49 30 349 85 777

    Email: info@atotech.com
    Local information

    Trebur

    Corrosion Protection Competence Center
    • Chemistry production
    • Research & development
    • TechCenter General metal finishing

    Atotech Deutschland GmbH & Co. KG
    Untergasse 47
    65468 Trebur
    Germany

    Tel.: +49 61 4750 13 0
    Fax.: +49 61 4750 13 19/29

    Local information

    Germany

    Feucht

    Equipment
    • Manufacturing
    • Research & development
    • TechCenter
    • Sales office

    Atotech Deutschland GmbH & Co. KG
    Industriestrasse 69
    90537 Feucht
    Germany

    Tel.: +49 9128 725 0
    Fax.: +49 9128 725 424

    Email: atotech.feucht@atotech.com
    Local information

    Germany

    Neuruppin

    Production
    • Chemistry production

    Ahornallee 4
    Industriegebiet Temnitz Park
    16818 Werder/bei Neuruppin
    Germany

    Tel.: +49 33 920 611 16
    Fax.: +49 33 920 611 19

    Email: atotech.neuruppin@atotech.com
    Local information

     

    Greece

    Thessaloniki

    Hadjikypreos - Chemicals S.A.
    • Distributor Greece

    Hadjikypreos – Chemicals S.A.
    Electroplating Products & Equipment
    Industrial Area of Thessaloniki
    Block: 48B, Street: DA9
    Thessaloniki
    Sindos – GR 570 22

    Tel.: +30 2310 797 505
    Fax.: +30 2310 797 504

    Email: info@hadjikypreos.gr

    Hungary

    Budapest

    Branch office Hungary
    • Sales office

    Atotech Österreich GmbH
    Magyarországi Fióktelepe
    H-1143 Budapest
    Francia út 43.
    Hungary

    Tel.: +36 1 273 1484

    Email: atotech.at@atotech.com

    India

    Gurugram

    Atotech India Private Limited
    (CIN No: U74999HR1996PTC033492)

    • Sales office
    • Chemistry production

    Registered office:
    66 KM Stone, N.H. 8, Delhi Jaipur Highway,
    Village Sidhrawali, District Gurugram – 122413
    Haryana, India

    Tel.: +91 124 2679 620 / 621
    Fax.: +91 1274 267 189

    Email: atotech.hary-admin@atotech.com
    Local information

    Atotech Development Center Private Limited
    (CIN: U73100HR2015FTC057006)

    • Research & development
    • TechCenter Electronics
    • TechCenter General metal finishing

    Sales/corporate office:
    Plot No. 446 - G & H, Sector-8,
    IMT Manesar Gurugram-122050,
    Haryana, India

    Tel.: +91 124 6447900

    Pune

    • Sales office

    Atotech India Private Limited
    128/2, Sanghavi Complex, Telco Road
    Chinchwad, Pune, Maharashtra - 411019
    India

    Tel.: +91 20 274 416 01 / 02
    Fax: +91 20 274 416 03

    Ludhiana

    • Sales office

    Atotech India Private Limited
    First Floor, Zoom Building
    Property No. B-XXIII, 2581/1, R.K. Road
    Industrial area – A, Ludhiana
    Punjab - 141003
    India

    Tel.: +91 161 4640 192
    Fax.: +91 161 4640 192

    India

    Bengaluru

    • Customer support lab

    Atotech Development Center Private Limited
    74/B, West Phase
    Electronic city Phase 1
    Near Y SEC IT Software
    Bengaluru 560100
    Karnataka, India

    Tel.: +91 8110 419 000
    Fax.: +91 8110 419 020

    Email: atotech.bang-admin@atotech.com
    Local information

    Mumbai

    • Sales office

    Atotech India Private Limited
    UNIT No. 209, Krishna Commercial Center 6
    Udyog Nagar, Off S. V. Road, Goregaon West
    Mumbai, Maharashtra - 400062
    India

    Tel.: +91 22 2878 3400
    Fax.: +91 22 2878 8278

    Chennai

    • Sales office

    Atotech India Private Limited
    303, SIDCO AIEMA Tower, 1st Main Road
    Ambattur Industrial Estate
    Chennai - 600 058
    Tamil Nadu
    India

    Tel.: +91 44 4852 8963 / +91 96 000 71 757

    Indonesia

    Jakarta

    • Sales office

    PT. Atotech Indonesia Chemicals
    The Suite Tower level 7 – Union Space
    Jkt outer Ring Road No. 1, RW.2
    Kamal Muara, Kec. Penjaringan
    Jakarta Utara 14470
    Indonesia

    Tel.: +62-21 30420687
    Fax.: +62-21 30420688

    Email: Atotech.ATT-Singapore-CSE@atotech.com
    Local information

    Israel

    Akko

    Global Environment Solutions LTD
    • Distributor Israel

    Global Environment Solutions LTD
    Industrial Zone
    Po Box 2408
    24123 Akko
    Israel

    Tel.: +972 4 98 76 107
    Fax.: +972 4 98 76 133

    Email: RikaB@ges.co.il

    Italy

    Milan

    Main office
    • TechCenter General metal finishing
    • Sales office

    Atotech Italia S.r.l.
    Via Lecco, 6
    20045 Lainate (MI)
    Italy

    Tel.: +39 02 933 021
    Fax.: +39 02 933 021 99

    Email: atotech.italia@atotech.com
    Local information

    Italy

    Cluj-Napoca

    • Sales office

    Atotech Sucursala Cluj Napoca
    400117 Cluj
    Romania

    Phone: +40 736 639825

    Email: atotech.romania@atotech.com

    Japan

    Yokohama

    Regional headquarters FarEast
    • TechCenter Electronics
    • TechCenter General metal finishing

    Atotech Japan K.K.
    German Industry Park
    1-18-2 Hakusan
    Midori-ku, Yokohama
    Kanagawa 226-0006
    Japan

    Tel.: +81 45 937 6116
    Fax.: +81 45 937 6117

    Email: japan@atotech.com
    Local information

    Koda

    • Chemistry production

    Atotech Japan K.K.
    1-6 Shimo-Ohbasan
    Mutsuguri, Koda-cho
    Nukata-gun, Aichi 444-0122
    Japan

    Tel.: +81 564 62 14 15
    Fax.: +81 564 56 90 00

    Email: japan@atotech.com
    Local information

    Japan

    横浜

    アジア拠点
    • TechCenter Electronics
    • TechCenter General metal finishing

    アトテックジャパン株式会社
    226-0006
    神奈川県横浜市緑区白山1-18-2
    ジャーマンインダストリーパーク

    Tel.: +81 45 937 6116
    Fax.: +81 45 937 6117

    Email: japan@atotech.com
    Local information

    幸田町

    • 薬品製造工場

    アトテックジャパン株式会社
    444-0122
    愛知県額田郡幸田町六栗下大迫1-6

    Tel.: +81 564 62 14 15
    Fax.: +81 564 56 90 00

    Email: japan@atotech.com
    Local information

    Korea

    Jangan

    • TechCenter Electronics
    • TechCenter General metal finishing
    • Chemistry production

    Atotech Korea Ltd.
    37, Jangangongdan 1-gil, Jangan-myeon,
    Hwaseong-si, Gyeonggi-do, ZIP: 18579
    Korea

    Tel.: +82 31 359 3000
    Fax.: +82 31 351 8557

    Email: info-korea@atotech.com
    Local information

    Seoul

    • Sales office

    Atotech Korea Ltd.
    7F Dongsung building, 21 Gukhoe-Daero 62-Gil,
    Yeongdeungpo-Gu, Seoul, ZIP: 07236
    Korea

    Tel.: +82 2 550 7200
    Fax.: +82 2 561 0015

    Email: info-korea@atotech.com

    Busan

    • Sales office

    Atotech Korea Ltd.
    #403 Busan Cheongjeongdogeum Center,
    20 Noksansandan 382-ro 14gil,
    Gangseo-gu, Busan, ZIP: 46757
    Korea

    Tel.: +82 51 973 0510
    Fax.: +82 51 973 1579

    Email: info-korea@atotech.com

    Korea

    장안

    • TechCenter Electronics
    • TechCenter General metal finishing
    • Chemistry production

    Atotech Korea Ltd.
    경기도 화성시 장안면 장안공단 1길 37
    (zip: 18579)

    Tel.: +82 31 359 3000
    Fax.: +82 31 351 8557

    Email: info-korea@atotech.com
    Local information

    서울

    • Sales office

    Atotech Korea Ltd.
    서울시 영등포구 국회대로 62길 21
    동성빌딩 7층 (ZIP: 07236)

    Tel.: +82 2 550 7200
    Fax.: +82 2 561 0015

    Email: info-korea@atotech.com

    부산

    • Sales office

    Atotech Korea Ltd.
    부산시 강서구 녹산산단 382로 14번가길 20
    (송정동), 부산 청정도금센터 403호 (zip:46757)

    Tel.: +82 51 973 0510
    Fax.: +82 51 973 1579

    Email: info-korea@atotech.com

    Lithuania

    Vilnius

    • Sales office

    UAB Atotech-Chemeta
    Konstitucijos pr. 21A
    LT-08130 Vilnius
    Lietuva

    Tel.: +370 5 272 93 94
    Local information

    Generalinis direktorius

    Gražina Kontrimavičiūtė
    Tel.: + 370 5 272 93 94
    El.paštas: Grazina.Kontrimaviciute@atotech.com

    Lithuania

    Verslo plėtros direktorius

    Dr. Jaroslaw Rozwadowski
    Tel.: + 48 61 662 27 35
    El.paštas: Jaroslaw.Rozwadowski@atotech.com

    Pardavimų vadovas

    Juozas Daukšas
    Tel.: + 370 5 272 93 94
    El.paštas: Juozas.Daukshas@atotech.com

    Malaysia

    Penang

    • Chemistry production

    Atotech Malaysia Sdn. Bhd.
    1182, Lorong Perindustrian Bukit Minyak 22
    Taman Perindustrian Bukit Minyak
    14100 Simpang Ampat
    Pulau Pinang

    Malaysia

    Tel.: +60 4 506 9800
    Fax.: +60 4 506 2280

    Email: Atotech.PNNG-customerservice@atotech.com

    Malaysia

    Selangor

    • Sales office

    Atotech Malaysia Sdn. Bhd.
    Lot 6.03A, Level 6, 1 Tech Park
    Tanjung Bandar Utama
    Bandar Utama, 47800 Petaling Jaya
    Selangor Darul Ehsan

    Malaysia

    Tel.: +60 3 7732 3070

    Email: Atotech.PNNG-customerservice@atotech.com

    Mexico

    Querétaro

    • Chemistry production
    • Sales office

    Atotech de México S.A. de C.V.
    Carretera Estatal 100
    No. 4200 Lote 33/34, Interior 4-H/4-G
    Parque Industrial Aeropuerto San Ildefonso
    Colón, Querétaro

    México, C.P. 76295

    Tel.: +52 4422 9588 62

    Mexico

    Business Development Manager
    Norberto Pineda Salinas
    Email: norberto.pineda@atotech.com
    Tel. (cell): +52 1 55 8580 7816

    Sales Manager
    José Alberto Benedito Morant
    Email: alberto.benedito@atotech.com
    Tel. (cell): +52 1 55 2272 0507

    Peru

    Lima

    Anders Peru S.A.C.
    • Distributor Peru

    Anders Peru S.A.C.
    JR. PASEO DEL BOSQUE Nro. 500, Int. 301
    URB. CHACARILLA DEL ESTANQUE
    SAN BORJA, 15037 LIMA
    Peru

    Tel.: +51 1 615 86 00
    Fax.: +51 1 615 86 10

    Email: horst.eichhorn@qanders.com

    Philippines

    Muntinlupa City

    • Sales office

    Atotech (Philippines) Chemicals, Inc.
    401-402 B2 L7
    CTP Alpha Building
    Investment Drive
    Madrigal Business Park
    Alabang, Muntinlupa City
    Philippines, 1780

    Tel.: +63 2 834 0100
    Fax.: +63 2 833 2282

    Email: singapore-cse@atotech.com
    Local information

    Poland

    Poznań

    • Sales office

    Atotech Poland Sp.z o.o.
    Ul. Marcelińska 92/94
    60-324 Poznań
    Poland

    Tel.: +48 61 662 27 35
    Fax.: +48 61 662 19 02

    Email: atotech.pozn-office@atotech.com
    Local information

    Managing Director

    Jarosław Rozwadowski
    Email: jaroslaw.rozwadowski@atotech.com

    Poland

    Deputy Business Director, Business Manager GMF, Electronics

    Rafał Janowicz
    Tel.: +48 506 176 303
    Email: rafal.janowicz@atotech.com

    Przedstawiciel Techniczno-Handlowy GMF

    (Klasyczna galwanotechnika – Procesy antykorozyjne, cynk lamelarny, nikiel chemiczny, chrom techniczny)

    Magdalena Gortat
    PMM CRC/ZF
    Tel.: + 48 506 368 167
    Email: magdalena.gortat@atotech.com

    Przedstawiciel Techniczno-Handlowy GMF

    (Klasyczna galwanotechnika - Technologie dekoracyjne, pokrywanie plastiku)

    Jacek Chomiuk
    PMM DECO/POP
    Tel.: + 48 506 176 294
    Email: jacek.chomiuk@atotech.com

    Przedstawiciel Techniczno-Handlowy PST

    (Technologie wspierające procesy malowania- przygotowanie powierzchni, stripowanie farby)

    Tomasz Ilski
    Tel.: +48 512 368 712
    Email: tomasz.ilski@atotech.com

    Przedstawiciel Techniczno-Handlowy Electronika

    (PCB – Produkcja płytek obwodów drukowanych)

    Michał Zaczek
    Tel.: +48 61 662 27 35
    Email: michal.zaczek@atotech.com

    Portugal

    Aveiro

    • Sales office

    Atotech España S.A.U.
    Sucursal em Portugal
    Av. Europa, nº 473 C
    3800 – 228 Aveiro
    Portugal

    Tel.: +351 234 729 800

    Email: atotech.es@atotech.com
    Local information

    Spain

    Erandio

    Main office
    • Sales office

    Atotech España S.A.U.
    Sociedad Unipersonal
    Apartado 156 - 48950 Erandio
    Ribera de Axpe, 39
    48950 Erandio - Bizkaia
    España

    Tel.: +34 944 8030 55 / 00
    Fax.: +34 944 8030 21 / 12

    Email: atotech.es@atotech.com
    Local information

    Spain

    Barcelona

    Delegación Cataluña and Valencia
    • Sales office

    Atotech España S.A.U.
    Sociedad Unipersonal
    Parc Tecnològic del Vallès
    Ronda Can Fatjó, 8
    08290 Cerdanyola del Vallès (Barcelona)
    España

    Tel.: +34 93 680 11 77
    Fax.: +34 93 680 00 22

    Email: atotech.es@atotech.com
    Local information

    Portugal

    Aveiro

    Sociedad Unipersonal/Sucursal em Portugal
    • Sales office

    Atotech España S.A
    Sociedad Unipersonal
    Sucursal em Portugal
    Avda. Europa, Nr. 473 - C
    3800 - 228 Aveiro
    Portugal

    Tel.: +351 234 729 800

    Email: atotech.es@atotech.com
    Local information

    Romania

    Cluj Napoca

    Sucursala Italia
    • Sales office

    Calea Dorobantilor Nr. 14-16
    400117 - Cluj City Center, office 003
    Romania

    Tel.: +40 37106 4033
    Fax.: +40 37289 3814

    Email: atotech.romania@atotech.com

    Scandinavia

    Norrköping

    • Sales office

    Atotech Skandinavien AB
    Slottsgatan 33
    Box 5, SE-60102 Norrköping
    Sweden

    Tel.: +46 11 36 11 00
    Fax.: +46 11 10 01 62

    Email: sales.scan@atotech.com

    Singapore

    Singapore

    • FEC competence center
    • TechCenter Electronics
    • Sales office

    Atotech (Singapore) Chemicals Pte. Ltd.
    8 Buroh Street
    Unit #03-01
    Surface Engineering Hub
    Singapore 627563

    Tel.: +65 6862 26 18
    Fax.: +65 6862 15 06

    Email: singapore-cse@atotech.com
    Please send your job application to:
    Atotech.SPOR-HRD@atotech.com
    Local information

    Slovakia

    Banská Bystrica

    • Sales office

    Atotech SK, s.r.o.
    J. Chalupku 8
    974 01 Banská Bystrica
    Slovakia

    Tel.: +421 484 700 162 164
    Fax.: +421 484 700 161

    Email: bystrica@atotech.com

    Slovenija

    Podnart

    • Chemistry production

    Atotech Slovenija d.d.
    Podnart 43
    4244 Podnart
    Slovenija

    Tel.: +386 4 537 60 00

    Email: Atotech.PODN-INFO@atotech.com

    Informacija za javnost april 2024

    Spain

    Erandio

    Main office
    • Sales office

    Atotech España S.A.U.
    Sociedad Unipersonal
    Apartado 156 - 48950 Erandio
    Ribera de Axpe, 39
    48950 Erandio - Bizkaia
    España

    Tel.: +34 944 8030 55 / 00
    Fax.: +34 944 8030 21 / 12

    Email: atotech.es@atotech.com
    Local information

    Spain

    Barcelona

    Delegación Cataluña and Valencia
    • Sales office

    Atotech España S.A.U.
    Sociedad Unipersonal
    Parc Tecnològic del Vallès
    Ronda Can Fatjó, 8
    08290 Cerdanyola del Vallès (Barcelona)
    España

    Tel.: +34 93 680 11 77
    Fax.: +34 93 680 00 22

    Email: atotech.es@atotech.com
    Local information

    Portugal

    Aveiro

    Sociedad Unipersonal/Sucursal em Portugal
    • Sales office

    Atotech España S.A
    Sociedad Unipersonal
    Sucursal em Portugal
    Avda. Europa, Nr. 473 - C
    3800 - 228 Aveiro
    Portugal

    Tel.: +351 234 729 800

    Email: atotech.es@atotech.com
    Local information

    Switzerland

    Courgenay

    • Sales office

    Atotech Deutschland GmbH & Co. KG
    Zweigniederlassung Courgenay
    Vertrieb Schweiz
    L'Armeratte 2
    CH - 2950 Courgenay
    Switzerland

    Tel.: +41 32 471 31 19
    Fax.: +41 32 566 13 29

    Email: info.schweiz@atotech.com

    Taiwan

    Taipei

    Main office
    • Sales office

    Atotech Taiwan Limited
    4F., No. 285
    Sec. 3 Nanjing E. Rd.
    Taipei 10550
    Taiwan

    Tel.: +886 2 2717 6868
    Fax.: +886 2 2713 2732

    Local information

    Taoyuan

    • Sales office

    Atotech Taiwan Limited
    5F., No. 15
    Jingguo Rd.
    Taoyuan City 33050
    Taiwan

    Tel.: +886 3 356 2468
    Fax.: +886 3 357 2585

    Local information

    Taiwan

    Kaohsiung

    • Customer support lab

    Atotech Taiwan Limited
    4F., No. 47, Dazhong 2nd Rd.
    Zuoying Dist., Kaohsiung City 813022
    Taiwan

    高雄市左營區大中二路47號4樓

    Tel.: +886 7 343 2876
    Fax.: +886 7 343 2898

    Local information

    Key Contacts / 主要聯絡人

    金屬表面處理 GMF
    李德芬 (Hannah Lee)
    Phone: +886 2 2717 6868 #303
    Email: Hannah.Lee@atotech.com

    電子事業部 EL
    李憶婷 (Bennia Lee)
    Phone: +886 3 356 2468 # 501
    Email: Bennia.Lee@atotech.com

    觀音研發中心 Guanyin ATC
    謝廷偉 (Casper Hsieh)
    Phone: +886 3 438 9788 # 601
    Email: Casper.Hsieh@atotech.com

    半導體 SC
    陳彥合 (Bobby Chen)
    Phone: +886 3 356 2468 # 950
    Email: Bobby.Chen@atotech.com

    高雄研發中心Kaohsiung ATC
    龔嫊婷 (Tina Kung)
    Phone: +886 7 343 2876 # 701
    Email: Tina.Kung@atotech.com

    環安衛HES
    郭宜慧 (Rosa Kuo)
    Phone: +886 3 438 9788 # 881
    Email: Rosa.Kuo@atotech.com

    人資行政HR
    陳雅莉 (Alice Chen)
    Phone: +886 2 2717 6868 # 113
    Email: Alice.Chen@atotech.com

    Taiwan

    Guanyin

    • TechCenter Electronics
    • Chemistry production

    Atotech Taiwan Limited
    11, Jingjian 2nd Rd.
    Guanyin Industrial Park
    Taoyuan City 32853
    Taiwan

    Tel.: +886 3 438 9788
    Fax.: +886 3 438 9792

    Local information

    Thailand

    Bangkok

    • Sales office

    Atotech (Thailand) Co., Ltd.
    11th Floor, 1 TP&T Tower
    Soi 19, Vibhavadee Rungsit Road
    Chatuchak, Chatuchak, Bangkok 10900
    Thailand

    Tel.: +66 293 618 73
    Fax.: +66 293 618 76

    Email: thomas.beck@atotech.com

    The Netherlands

    De Meern

    Main office

    Atotech B.V.
    Strijkviertel 35-2
    3454 PJ De Meern
    The Netherlands

    Tel.: +31 30 240 90 10

    Email: sales.nl@atotech.com

    The Netherlands

    Sofia

    Branch office Bulgaria

    Atotech B.V. (Nederland)
    Zk Drujba Bl. 223-B-46
    1582 Sofia
    Bulgaria

    Tel.: +359 886 816 816
    Fax.: +359 888 634 149

    Email: elitsapetrova@atotech-bg.com

    Turkey

    Istanbul

    • Sales office
    • Customer service lab

    Atotech İstanbul Kimya Sanayi Tic. Ltd. Şti.
    Barbaros Mah.
    Nesime Hanım Sok. No:4
    34746. Ataşehir - İstanbul
    Turkey

    Tel.: +90216 593 23 90
    Fax.: +90216 593 23 98

    Email: kansav.atila@atotech.com

    Turkey

    Izmir

    • Sales office
    • Customer service lab

    Atotech İstanbul Kimya Sanayi Tic. Ltd. Şti.
    Halkapınar Mah.
    1203/12 Sok. No: 2/1.
    35170. Konak - İzmir
    Turkey

    Tel.: +90 232 435 92 93
    Fax.: +90 232 435 95 99

    Email: kansav.atila@atotech.com

    Ukraine

    Kiev

    GALVANOMAYSTER Ltd.
    • Distributor Ukraine

    GALVANOMAYSTER Ltd.
    Mr. Volodymyr Baranovskyi
    Yevhen Sverstiuk Str. 11
    02660 Kiev
    Ukraine

    Tel.: +38 044 516 86 90
    Fax.: +38 044 517 16 13

    Email: v.baranovskyi@galvanomayster.kiev.ua

    United Kingdom

    West Bromwich

    • Sales office

    Atotech UK Ltd.
    William Street, West Bromwich
    West Midlands B70 0BG
    United Kingdom

    Tel.: +44 121 606 77 77
    Fax.: +44 121 606 72 00

    Email: sales.uk@atotech.com
    Local information

    UAE

    Ajman

    Al Taher Chemicals
    • Distributor U.A.E.

    Al Taher Chemicals Trading LLC
    Near Lucky R/A
    Industrial Area-2
    P.O. Box – 18912
    Ajman
    U.A.E.

    Tel.: +971 6 7482593

    Email: info@altaherchemicals.com

    USA

    Rock Hill

    Regional headquarters
    • Chemistry production
    • TechCenter Electronics
    • TechCenter General metal finishing

    Atotech USA, LLC
    1750 Overview Drive
    Rock Hill, SC 29730
    USA

    Tel.: +1 803 817 3500
    Fax.: +1 803 817 3602

    Email: usainfo@atotech.com
    Local information

    USA

    Detroit

    • Customer analytics laboratory
    • Warehouse

    Atotech USA, LLC
    35840 Beattie Drive,
    Sterling Heights, Michigan 48312
    USA

    Tel.: 1-800-PLATING

    Email: usainfo@atotech.com
    Local information

    Vietnam

    Ho Chi Minh City

    Main office
    • Sales office
    • Customer service lab

    Atotech Vietnam Co., Ltd.
    5F Hai Au Building
    39B Truong Son Street, Ward 4
    Tan Binh District
    Ho Chi Minh City
    Vietnam

    Tel.: +84 8 6296 1670
    Fax.: +84 8 6296 1675

    Vietnam

    Hanoi

    • Sales office
    • Customer service lab

    Atotech Vietnam Co., Ltd.
    Floor 2, VPI Building
    Trung Kinh Street, Yen Hoa Ward
    Cau Giay District
    Hanoi City
    Vietnam

    Tel.: +84 4 3768 7618
    Fax.: +84 4 3768 7619




      이메일 주소를 입력해주셔야 문의에 대한 이메일 회신을 드릴 수 있습니다.




        이메일 주소를 입력해주셔야 문의에 대한 이메일 회신을 드릴 수 있습니다.